CN112992637A - 衬底支撑板、包括它的衬底处理设备以及衬底处理方法 - Google Patents

衬底支撑板、包括它的衬底处理设备以及衬底处理方法 Download PDF

Info

Publication number
CN112992637A
CN112992637A CN202011237367.7A CN202011237367A CN112992637A CN 112992637 A CN112992637 A CN 112992637A CN 202011237367 A CN202011237367 A CN 202011237367A CN 112992637 A CN112992637 A CN 112992637A
Authority
CN
China
Prior art keywords
substrate
supply unit
support plate
gas supply
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011237367.7A
Other languages
English (en)
Inventor
金大渊
金材玹
李承桓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN112992637A publication Critical patent/CN112992637A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种能够选择性地在其斜角区域中处理薄膜的衬底处理设备包括用于支撑待处理衬底的衬底支撑板,衬底支撑板包括:内部,其上表面的面积小于待处理衬底的面积;以及围绕内部的外围部分,其中外围部分的上表面在内部的上表面下方。

Description

衬底支撑板、包括它的衬底处理设备以及衬底处理方法
相关申请的交叉引用
本申请基于2019年12月02日在美国专利和商标局提交的美国专利申请号62/942617并且在35U.S.C.§119下要求其优先权,其全部内容通过引用合并于此。
技术领域
一个或多个实施例涉及一种衬底支撑板,更具体地,涉及一种衬底支撑板、包括该衬底支撑板的衬底处理设备以及使用该衬底支撑板的衬底处理方法。
背景技术
当在衬底上形成薄膜时,可以在随后的过程中剥离沉积在衬底的上和下边缘上的部分薄膜。因此,沉积在衬底的上和下边缘上的膜可能充当污染物,例如在反应空间中形成颗粒,这可能导致器件故障率的增加。
图1示出了沉积在衬底边缘上的薄膜。参考图1,薄膜94沉积在衬底91的上表面92、侧表面95和后表面93的一部分上。具体地,沉积在衬底的侧表面95和后表面93的一部分上的膜a和b在随后的过程中被剥离,从而引起反应器和衬底上的结构的污染。
发明内容
一个或多个实施例包括选择性地处理沉积在衬底边缘(例如斜角区域)上的薄膜。更详细地,一个或多个实施例包括能够去除沉积在衬底边缘上的薄膜的衬底处理设备和衬底处理方法。
一个或多个实施例包括选择性地去除衬底边缘比如斜角边缘区域上的薄膜。另外,一个或多个实施例包括通过控制处理参数(例如用于RF功率的供应条件和/或进入气体的流量控制)来确保衬底上的斜角蚀刻宽度的对称性,而与衬底支撑板比如基座上的衬底的对准位置无关。
其他方面将在下面的描述中部分地阐述,并且部分地从描述中将是显而易见的,或者可以通过实践本公开的所呈现的实施例而获知。
根据一个或多个实施例,一种用于支撑待处理衬底的衬底支撑板包括:内部,其上表面的面积小于待处理衬底的面积;以及围绕所述内部的外围部分,其中外围部分的上表面在内部的上表面下方,并且外围部分可包括至少一个路径。
根据衬底支撑板的示例,衬底支撑板还可包括设置在内部上的至少一个垫。
根据衬底支撑板的另一示例,路径可以从外围部分的一部分延伸到外围部分的另一部分。
根据衬底支撑板的另一示例,路径可以包括:第一部分,其从衬底支撑板的侧表面朝向外围部分延伸;以及第二部分,其从外围部分朝向衬底支撑板的上表面延伸。
根据衬底支撑板的另一示例,路径可以包括多个路径,并且多个路径可以相对于衬底支撑板的中心对称地形成。
根据衬底支撑板的另一示例,内部可以包括通孔,其直径不同于路径的直径。
根据衬底支撑板的另一示例,从衬底支撑板的中心到路径的距离可以小于待处理衬底的半径。
根据一个或多个实施例,一种衬底处理设备包括:衬底支撑板,包括内部,该内部的上表面的面积小于待处理衬底的面积;以及围绕所述内部的外围部分,其中外围部分的上表面在内部的上表面下方;以及衬底支撑板上的气体供应单元,其中内部和气体供应单元之间的第一距离可以小于外围部分和气体供应单元之间的第二距离。
根据衬底处理设备的示例,当将待处理衬底安装在内部上时,待处理衬底与气体供应单元之间的距离可以为约1mm或更小,并且外围部分和气体供应单元之间的第二距离可以为约3mm或更大。
根据衬底处理设备的另一示例,内部可以形成衬底支撑板的凸部,并且外围部分可以形成衬底支撑板的凹部。
根据衬底处理设备的另一示例,气体供应单元可以包括多个注入孔,并且多个注入孔可以分布在小于待处理衬底的面积的面积上。
根据衬底处理设备的另一示例,多个注入孔可以分布在小于内部的上表面的面积的面积上。
根据衬底处理设备的另一示例,气体供应单元包括多个注入孔,并且在分布有多个注入孔的区域中的气体供应单元的第一下表面与分布有多个注入孔的区域之外的气体供应单元的第二下表面齐平。
根据衬底处理设备的另一示例,待处理衬底的上表面与气体供应单元的第一下表面之间的距离以及待处理衬底的上表面与气体供应单元的第二下表面之间的距离是恒定的,因此,在没有单独的对准操作的情况下,可以对设置在外围部分和气体供应单元之间的待处理衬底的边缘区域上的薄膜进行处理。
根据衬底处理设备的另一示例,可以在衬底支撑板和气体供应单元之间形成反应空间,并且反应空间可以包括在内部和气体供应单元之间的第一反应空间;以及在外围部分与气体供应单元之间的第二反应空间。
根据衬底处理设备的另一示例,可以在气体供应单元和衬底支撑板之间供应电力以产生等离子体,并且在第一反应空间中产生的等离子体少于在第二反应空间中产生的等离子体。
根据衬底处理设备的另一示例,外围部分可以包括至少一个路径。
根据衬底处理设备的另一示例,衬底处理设备可以配置为通过路径供应与待处理衬底上的薄膜反应的气体。
根据衬底处理设备的另一示例,衬底处理设备可以配置为通过气体供应单元供应不同于与薄膜反应的气体的气体。
根据一个或多个实施例,一种衬底处理方法包括:将待处理衬底安装在上述衬底支撑板上;通过在衬底支撑板上的气体供应单元和衬底支撑板之间供应电力来产生等离子体;以及使用等离子体去除待处理衬底的边缘区域上的薄膜的至少一部分,其中在产生等离子体期间,在内部和气体供应单元之间的第一空间中产生的等离子体少于在外围部分和气体供应单元之间的第二空间中产生的等离子体。
附图说明
通过以下结合附图的描述,本公开的某些实施例的上述及其他方面、特征和优点将更加明显,其中:
图1示出了沉积在衬底边缘上的薄膜;
图2是根据本发明构思的实施例的衬底支撑板的视图;
图3至6是根据本发明构思的实施例的衬底处理设备的视图;
图7和8是根据本发明构思的实施例的衬底支撑板的视图;
图9和10是根据本发明构思的实施例的衬底处理设备的视图;
图11是示出通过氧自由基与碳薄膜的反应除去碳薄膜的视图;
图12是根据RF功率施加时间从衬底的上边缘去除碳薄膜的区域的视图;
图13是示出根据位置去除碳膜的视图;
图14是示出从实际衬底的上表面的1mm边缘区域去除碳薄膜的视图;以及
图15是根据本发明构思的实施例的衬底处理设备的视图。
具体实施方式
现在将详细参考实施例,在附图中示出了实施例的示例,其中相同的附图标记始终表示相同的元件。就这一点而言,本实施例可以具有不同的形式,并且不应被解释为限于这里阐述的描述。因此,下面仅通过参考附图描述实施例以解释本说明书的各方面。如本文所用,术语“和/或”包括一个或多个相关所列项目的任何和所有组合。当诸如“至少一个”之类的表达在元素列表之前时修饰整个元素列表并不修饰列表中的各个元素。
本文所用的术语是出于描述特定实施例的目的,并且无意于限制本公开。如本文所用,单数形式“一”、“一个”和“该”也意图包括复数形式,除非上下文另外明确指出。还将理解,本文所用的术语“包括”、“包含”及其变体指定存在所述特征、整数、步骤、过程、构件、部件和/或其组,但不排除存在或添加一个或多个其他特征、整数、步骤、过程、构件、部件和/或其组。如本文所用,术语“和/或”包括一个或多个相关所列项目的任何和所有组合。
将理解的是,尽管本文可以使用术语第一、第二等来描述各种构件、部件、区域、层和/或部分,但这些构件、部件、区域、层和/或部分不应受这些术语限制。这些术语不表示任何顺序、数量或重要性,而仅用于区分各部件、区域、层和/或部分。因此,在不脱离实施例的教导的情况下,下面讨论的第一构件、部件、区域、层和/或部分可被称为第二构件、部件、区域、层和/或部分。
在下文中,将参照附图描述本公开的实施例,在附图中示意性地示出了本公开的实施例。在附图中,由于例如制造技术和/或公差,可以预期与所示形状的变化。因此,本公开的实施例不应被解释为限于在此示出的特定形状区域,而是可以包括例如由制造过程导致的形状偏差。
图2是根据本发明构思的实施例的衬底支撑板的视图。图2(a)是衬底支撑板的平面图,图2(b)是衬底支撑板的仰视图,图2(c)是沿线A-A和线B-B截取的衬底支撑板的剖视图。
参照图2,衬底支撑板是用于支撑待处理衬底的构造,并且可以将待处理衬底放置在衬底支撑板上。衬底支撑板可包括内部I、外围部分P和至少一个垫D。另外,可在衬底支撑板中形成路径F和通孔TH。
内部I可被定义为衬底支撑板的中央区域。内部I可以形成为具有小于待处理衬底的面积的上表面。内部I的上表面可以具有与待处理衬底的形状相对应的形状。例如,当待处理衬底是具有第一直径的圆形衬底时,内部I可以具有圆形上表面,其第二直径小于第一直径。
外围部分P可以形成为围绕内部I。例如,当内部I是具有圆形上表面的板状结构时,外围部分P可以是围绕该板状结构的环形构造。在一示例中,外围部分P可以延伸为使得外围部分P的上表面设置在内部I的上表面下方。因此,可以形成具有内部I在其中从外围部分P突出的形状的衬底支撑板。在替代实施例中,内部I可以形成衬底支撑板的凸部,而外围部分P可以形成衬底支撑板的凹部(参见图5和6)。
至少一个垫D可以在内部I上。例如,至少一个垫D可以是多个,并且多个垫可以相对于衬底支撑板的中心对称地形成。可将待处理衬底安置在衬底支撑板上以与至少一个垫D接触。在一示例中,至少一个垫D可构造成防止安置在衬底支撑板上的待处理衬底水平移动。例如,至少一个垫D可以包括具有一定粗糙度的材料,并且材料的粗糙度可以防止待处理衬底打滑。
外围部分P可以包括至少一个路径F。在一示例中,如图2所示,路径F可以从外围部分的一部分延伸到外围部分的另一部分。在另一示例中,路径F可以从外围部分的一部分向内部的一部分延伸。如上所述,外围部分包括至少一个路径F这一事实意味着该路径的至少一端形成在外围部分处。
在路径F从外围部分P的一部分延伸到外围部分P的另一部分的示例中,路径F可以形成为穿透外围部分P。在替代示例中,路径F可以包括从衬底支撑板的侧表面朝向外围部分P延伸的第一部分F1和从外围部分P向衬底支撑板的上表面延伸的第二部分F2。
路径F可以用作气体的移动路径。例如,可以通过路径F供应与待处理衬底上的薄膜反应的气体。通过路径F供应气体,同时外围部分P的上表面设置在内部I的上表面下方,由此可以实现对位于衬底支撑板上的待处理衬底的边缘区域(例如斜角区域)上的薄膜的部分处理。
路径F可以包括多个路径。在一示例中,多个路径可以相对于衬底支撑板的中心对称地形成。而且,多个路径可延伸成面对待处理衬底的后表面。例如,从衬底支撑板的中心到外围部分P的路径F的距离可以小于待处理衬底的半径。因此,可以通过多个对称形成的路径将气体均匀地供应到位于衬底支撑板上的待处理衬底的后表面上。
可以在内部I中形成通孔TH。在内部I的外围部分中形成的通孔TH可以提供在安装衬底时用于移动衬底的衬底支撑销在其中移动的空间。另外,用于固定衬底支撑板的位置的固定销(未示出)可以插入位于内部I的中心的通孔中。在这方面,通孔TH与用作气体的移动路径的路径F不同。例如,通孔TH可以形成为具有与路径F的直径不同的直径。
图3是根据本发明构思的实施例的衬底处理设备的视图。根据这些实施例的衬底处理设备可以包括根据上述实施例的衬底支撑板103的至少一些特征。在下文中,这里将不给出实施例的重复描述。
图3示出了半导体处理设备100的横截面。半导体处理设备100可以包括衬底支撑板103和在衬底支撑板103上的气体供应单元109。
气体供应单元109可以包括多个注入孔。多个注入孔可以形成为面对衬底支撑板103的内部。在一示例中,多个注入孔可以分布在小于待处理衬底的面积的面积上(参见图3和4等)。在另一示例中,多个注入孔可以分布在小于内部的上表面的面积的面积上(参见图5和6等)。注入孔的这种分布形状可以有助于促进在待处理衬底的边缘区域上的薄膜的部分处理。
可以通过气体供应单元109的多个注入孔来供应第一气体。同时,如上所述,可以通过衬底支撑板103的路径F来供应不同于第一气体的第二气体。第一气体可以包括惰性气体(例如氩气)或高度稳定的气体(例如氮气)。第二气体可以包括与待处理衬底上的薄膜反应的材料。例如,第二气体可以包括用于氧化薄膜的气体(例如氧气)。
同样如上所述,衬底支撑板103可以包括根据上述实施例的衬底支撑板的至少一些构造。例如,衬底支撑板103可以包括:内部I,其上表面的面积小于待处理衬底的面积;以及围绕内部I的外围部分P。外围部分P的上表面也可以设置在内部I的上表面下方。
由于内部I位于高于外围部分P的水平处,因此内部I与气体供应单元109之间的第一距离可以小于外围部分P与气体供应单元109之间的第二距离。即,由于气体供应单元109的下表面是平坦的,所以第一距离和第二距离之间可能会出现差异。在替代实施例中,气体供应单元109的下表面可以不平坦(参见图15),即使在这种情况下,内部和气体供应单元109之间的第一距离也可以小于外围部分和气体供应单元109之间的第二距离。
根据一些示例,当将待处理衬底安装在内部I上时,待处理衬底与气体供应单元109之间的距离可以为约1mm或更小,并且外围部分P和气体供应单元109之间的第二距离可以为约3mm或更大。这样,通过在外围部分P和气体供应单元109之间形成足够的距离,可以实现对位于衬底支撑板103上的待处理衬底的边缘区域上的薄膜的部分处理。
在上述实施例中,当气体供应单元109的下表面是平坦的并且实现第一距离和第二距离之间的差异时,可以实现进一步的技术优势。更详细地,当在分布有多个注入孔的区域中的气体供应单元109的第一下表面与分布有多个注入孔的区域之外的气体供应单元109的第二下表面齐平时(参见图4),待处理衬底与气体供应单元109之间的距离可以是恒定的。
在这种情况下,待处理衬底的上表面与第一下表面之间的距离以及待处理衬底的上表面与第二下表面之间的距离是恒定的。结果,在没有单独的对准操作的情况下,可以对设置在外围部分P和气体供应单元109之间的待处理衬底的边缘区域上的薄膜(参见图1的a和b)进行处理。例如,通过调节通过气体供应单元109供应的第一气体与通过至少一个路径F供应的第二气体的流量比,可以执行相对于处于未对准状态的待处理衬底去除边缘区域上的薄膜。
同时,当将注入孔外侧的第二下表面设置在与围绕注入孔(例如参见图15)的第一下表面的水平不同的水平处时,待处理衬底的边缘区域上的薄膜的处理(例如去除)程度可能受到薄膜与下表面之间的距离的影响。因此,在这种情况下,待处理衬底在衬底支撑板103上的对准形式将影响边缘区域上的薄膜的处理的对称性。
再次参照图3,在半导体处理设备100中,反应器壁101可以与衬底支撑板103接触。更详细地,反应空间125可形成在衬底支撑板103和气体供应单元109之间,同时反应器壁101的下表面与用作下电极的衬底支撑板103接触。反应空间125可以包括在内部和气体供应单元109之间的第一反应空间125-1以及在外围部分和气体供应单元109之间的第二反应空间125-2。
在一些实施例中,第一反应空间125-1可以配置为在待处理衬底的中央区域上处理薄膜。第二反应空间125-2可以配置为在待处理衬底的边缘区域上处理薄膜。例如,为了处理衬底上的薄膜,可以在气体供应单元109和衬底支撑板103之间供应电力,并且可以通过电源在第二反应空间125-2中产生等离子体。在一些其他示例中,可以通过电源在第一反应空间125-1和第二反应空间125-2中产生等离子体。
如上所述,由于第一反应空间125-1中的衬底支撑板103与气体供应单元109之间的距离小于第二反应空间125-2中的衬底支撑板103与气体供应单元109之间的距离,因此可以通过帕申定律在较小的距离的第一反应空间125-1中形成较少的等离子体。换句话说,第一反应空间125-1的等离子体可以少于第二反应空间125-2的等离子体。在本说明书中,应注意的是,第一反应空间中的等离子体少于第二反应空间中的等离子体包括在第二反应空间中形成等离子体而在第一反应空间中不形成等离子体的情况。
衬底支撑板103可以配置为与反应器壁101面密封。反应空间125可以通过面密封形成在反应器壁101与衬底支撑板103之间。另外,可以通过面密封在气体流动控制装置105和气体供应单元109与反应器壁之间形成排气路径117。
气体流动控制装置105和气体供应单元109可以设置在反应器壁101和衬底支撑板103之间。气体流动控制装置105和气体供应单元109可以一体地形成,或者可以分离类型构造,其中具有注入孔133的部分被分离。在分离结构中,气体流动控制装置105可以堆叠在气体供应单元109上。可选地,气体供应单元109也可被单独地构造,在这种情况下,气体供应单元109可以包括具有多个通孔的气体注入装置和堆叠在气体注入装置上的气体通道。
气体流动控制装置105可包括板和从该板突出的侧壁123。可以在侧壁123中形成穿透侧壁123的多个孔111。
可以在反应器壁101与气体流动控制装置105之间以及在气体流动控制装置105与气体供应单元109之间形成用于容纳诸如O形环的密封构件的凹槽127、129和317。通过密封构件,可以防止外部气体进入反应空间125。另外,通过密封构件,反应空间125中的反应气体可以沿着限定的路径(即参见图4的排气路径117和气体出口115)离开。因此,可以防止反应气体流出到除了限定的路径以外的区域中。
气体供应单元109可以在诸如电容耦合等离子体(CCP)方法的等离子体处理中用作电极。在这种情况下,气体供应单元109可以包括诸如铝(Al)的金属材料。在CCP方法中,衬底支撑板103也可以用作电极,从而可以通过用作第一电极的气体供应单元109和用作第二电极的衬底支撑板103来实现电容耦合。
更详细地,在外部等离子体发生器(未示出)中产生的等离子体可以通过RF杆313(图5)被传输到气体供应单元109。RF杆可以通过穿透反应器壁101和气体流动控制装置105的上部的RF杆孔303(图5)机械地连接到气体供应单元109。
可选地,气体供应单元109由导体形成,而气体流动控制装置105包括诸如陶瓷的绝缘材料,使得可以将用作等离子体电极的气体供应单元109与反应器壁101绝缘。
如图3所示,在反应器壁101的上部中形成有穿透反应器壁101和气体流动控制装置105的中央部分的气体入口113。另外,在气体供应单元109中还形成有气体流动路径119,因此从外部气体供应单元(未示出)通过气体入口113供应的反应气体可被均匀地供应到气体供应单元109的每个注入孔133。
另外,如图3所示,气体出口115设置在反应器壁101的顶部,并且相对于气体入口113不对称。尽管在图中未示出,但气体出口115可以相对于气体入口113对称地设置。另外,反应器壁101和气体流动控制装置105的侧壁(以及气体供应单元109的侧壁)彼此分开,因此在处理进行之后,可以形成反应气体的残留气体通过其而被排出的排气路径117。
可以通过上述衬底处理设备去除待处理衬底的边缘区域上的薄膜,并且可以如下执行用于去除薄膜的操作。
-第一操作:将待处理衬底安装在衬底支撑板103上。例如,衬底支撑板103下降且衬底支撑销穿过通孔上升。然后将待处理衬底从机械臂传送到衬底支撑销上。然后,衬底支撑销下降并且将待处理衬底落座在衬底支撑板103的内部上。此后,衬底支撑板103上升以形成第一反应空间125-1和第二反应空间125-2。
-第二操作:在衬底支撑板103上的气体供应单元109与衬底支撑板103之间供应电力,以产生等离子体。例如,第二气体通过路径F被供应到反应空间125,然后第二气体被在气体供应单元109和衬底支撑板103之间形成的电势差电离以产生自由基。自由基可以与待处理衬底的薄膜反应。
同时,衬底支撑板103的内部的上表面可以位于外围部分的上表面上方。因此,内部和气体供应单元109之间的第一距离可以小于外围部分和气体供应单元109之间的第二距离。结果,尽管在衬底支撑板103的内部与气体供应单元109之间的距离较的情况下在第一反应空间125-1中产生的自由基的数量相对较小或不存在,但在衬底支撑板103的外围部分与气体供应单元109之间的距离较大的情况下在第二反应空间125-2中产生的自由基的数量将相对较大。
-第三操作:产生的等离子体用于去除待处理衬底的边缘区域上的至少一部分薄膜。例如,可以通过与第二操作中产生的自由基反应来去除薄膜。如上所述,由于自由基在衬底支撑板103的外围部分中相对较多地形成,所以可以在待处理衬底的边缘区域中去除大部分薄膜。
图4示意性地示出了根据本发明构思的实施例的衬底处理设备。根据实施例的衬底处理设备可以是根据上述实施例的衬底处理设备的变型。在下文中,这里将不给出实施例的重复描述。
参照图4,第一气体G1和第二气体G2可被供应到半导体处理设备的反应空间125。第二气体G2可以包括与待处理衬底S上的薄膜反应的成分。可以通过衬底支撑板103的路径F供应第二气体G2。此外,可以向待处理衬底S的后表面供应第二气体G2,并且可以向待处理衬底S的边缘区域供应第二气体G2。
第一气体G1可以包括与第二气体G2不同的成分。例如,第一气体G1可以包括与待处理衬底S上的薄膜不反应的成分。可以通过气体供应单元109的注入孔133供应第一气体G1。此外,可以向待处理衬底S的上表面(即形成有薄膜的表面)供应第一气体G1。例如,可以向待处理衬底S的中央区域供应第一气体G1。在另一示例中,第一气体G1可被均匀地供应在待处理衬底S的整个面积上。
如上所述,反应空间125可以包括第一反应空间125-1和第二反应空间125-2。当施加电力时,在内部I和气体供应单元109之间的第一反应空间125-1中产生相对少量等离子体或不产生等离子体。然而,在外围部分P和气体供应单元109之间的第二反应空间125-2中可产生相对大量等离子体。
因此,在其中产生相对大量等离子体的第二反应空间125-2中,可以促进待处理衬底S上的薄膜与第二气体G2之间的反应。结果,可以在待处理衬底S的边缘区域上进行化学反应,并且可以去除待处理衬底S的边缘区域上的薄膜。
在去除边缘区域上的薄膜之后的残留气体通过形成在反应器壁101和气体供应单元109的侧壁之间的排气路径117被传送到气体流动控制装置105。被传送到气体流动控制装置105的气体可以通过形成在侧壁123中的通孔111被引入到气体流动控制装置105的内部空间中,然后通过气体出口115排出到外部。
在替代实施例中,衬底支撑板103的内部I的至少一部分可以被阳极氧化。通过阳极氧化,可以在内部I的上表面的至少一部分上形成绝缘层150。例如,绝缘层150可以包括氧化铝。通过阳极氧化处理,可以通过静电力实现衬底的粘附。粘附的衬底的卸载可以更容易地进行。
图5是从另一横截面看的根据本公开的半导体处理设备的剖视图。参考图5,气体流动控制装置105包括侧壁123、气体入口113、被该侧壁123围绕的板301、RF杆孔303、螺纹孔305、通孔111以及用于容纳诸如O形环的密封构件的凹槽127。
板301可以被突出的侧壁123围绕并且可以具有凹形。气体流动控制装置105的一部分设置有气体入口113,其是引入外部反应气体的路径。至少两个螺纹孔305设置在气体入口113周围,作为将气体流动控制装置105与气体供应单元109连接的机械连接构件的螺钉穿过螺纹孔305。气体流动控制装置105的另一部分设置有RF杆孔303,因此可以将连接到外部等离子体供应单元(未示出)的RF杆313机械地连接到气体流动控制装置105下方的气体供应单元109。
连接到RF杆313的气体供应单元109可以用作CCP处理中的电极。在这种情况下,由气体供应单元109的气体通道和气体注入装置供应的气体将通过用作电极的气体供应单元109在反应空间中被激活并注入到衬底支撑板103上的衬底上。
在一些实施例中,气体供应单元109的注入孔133可以分布在小于待处理衬底S的面积的面积上。在另一实施例中,气体供应单元109的注入孔133可以分布在小于衬底支撑板的内部I的上表面的面积的面积上。通过如上所述地布置注入孔133,可以实现对于待处理衬底S的边缘区域的更密集处理。即,通过减小通过注入孔133供应的第一气体的供应区域的面积,可以减少通过向待处理衬底S的上表面供应的第一气体通过路径F向待处理衬底S的后表面供应的第二气体的稀释量。
在一些实施例中,衬底支撑板103的内部I可以从衬底支撑板103的外围部分P突出,因此内部I可以形成衬底支撑板103的凸部。而且,在一些实施例中,衬底支撑板103的外围部分P可以形成衬底支撑板103的凹部。即,衬底支撑板103的与反应器壁101面密封的部分从外围部分P的上表面突出,从而在衬底支撑板103的外围部分P中形成凹部。
图6是根据本发明构思的实施例的衬底处理设备的视图。根据实施例的衬底处理设备可以是根据上述实施例的衬底处理设备的变型。在下文中,这里将不给出实施例的重复描述。
参照图6,基座3设置在加热块4上,衬底8装载在基座3上。基座3可包括凹部和凸部。凹部可以形成在基座3的外围部分中,凸部可以形成在基座3的内部中。衬底8可以位于内部上,并且基座的内部可以支撑衬底8。
反应器壁2的下表面和基座3可以在台阶9处面密封,并且反应空间12和13可以通过面密封形成。反应空间可以包括第一反应空间12和第二反应空间13。第一反应空间12可以形成在基座3的内部与气体供应单元1之间。第二反应空间13可以形成在基座3的外围部分即衬底8的后表面的边缘和基座3的凹部之间。
第一气体通过气体供应单元1的第一气体入口5被供应到衬底上的第一反应空间12,第二气体通过第二气体入口6和形成在基座3中的第三气体入口7被供应到衬底边缘下方的第二反应空间13。第二气体可以包括氧气。例如,通过用氧气填充安装有反应器的外部室(未示出)的内部,可以将氧气作为填充气体引入反应空间。
第二气体入口6可以沿水平方向形成在基座3的下部与加热块4之间,第三气体入口7可以通过在与衬底边缘下方的第二反应空间对应的位置处竖直地穿透基座来形成。第二气体入口6和第三气体入口7可以彼此连通。
反应空间中的气体通过排气部分11排出,图6中示出了上排气系统。然而,要注意的是,排气系统不限于此,还可以应用下排气系统、侧排气系统或它们的组合。
衬底的边缘即斜角区域不被基座3支撑,且暴露在基座3的凹部即第二反应空间13上。气体供应单元1连接到RF发生器,并且当RF功率被供应到气体供应单元1时,在第二反应空间13中产生等离子体。
气体供应单元1在其中具有多个通孔5,第一气体可以通过通孔5被供应到第一反应空间12。气体供应单元1可以是例如喷头,并且可以由金属材料制成以用作RF电极。供应到第一气体入口5的第一气体可以是氮气或氩气。供应到第二气体入口6和第三气体入口7的第二气体可以是氧气。
将衬底8装载到基座3的凸部上的垫10上。根据现有技术,基座具有凹入的凹穴结构,以防止在装载衬底时滑动并允许将衬底安置在基座的凹穴中。然而,在本公开中,为了蚀刻衬底的边缘,基座可以具有与凹穴结构相对的结构。即,基座的边缘部分具有阶梯结构,因此衬底的边缘部分的后表面未被支撑并且暴露于第二反应空间。
引入垫10以防止当衬底8装载到基座3上时衬底8通过衬底的后表面和基座之间的气体凹穴滑动。即,通过引入垫10,当衬底8放置在基座3上时,可以防止衬底8通过衬底的后表面和基座之间的气体滑动。
图7和8是根据本发明构思的实施例的衬底支撑板的视图。根据实施例的衬底支撑板可以是根据上述实施例的衬底支撑板和包括在衬底处理设备中的衬底支撑板的修改。在下文中,这里将不给出实施例的重复描述。
参考图7,第二气体入口6可以是在基座的后表面上的直线中沿水平方向形成的凹部。第二气体入口6可以形成气体路径,第二气体通过该气体路径连同支撑基座3的加热块(未示出)的上表面被供应。在另一示例中,第二气体入口6可以直接通过基座3的侧面形成。
第三气体入口7可以竖直地穿透基座3的凹部,并且在基座3的主体内与第二气体入口6连通。第二气体可以通过第二气体入口6和第三气体入口7被供应到基座3的凹部。第二气体入口6和第三气体入口7可以在基座上设置多个,同时相对于基座的中心保持一定间隔。例如,可以以10度间隔在基座上设置36个第二和第三气体入口。通过多个第二气体入口6和第三气体入口7,可以将均匀量的第二气体供应到凹部。
垫10可以设置在基座3的内部。垫10可以支撑衬底。如上所述,由于衬底被装载在垫10上,因此可以防止由于衬底的后表面和基座3的上表面之间的气体引起的衬底的分离或滑动。可以基于基座的中心以规则的间隔设置多个垫10。例如,根据一些实施例,可以以36度间隔设置10个垫10。在一些示例中,垫10的厚度可以是约0.5mm。
在图8中更详细地示出了基座3的结构。图8(a)示出了基座的上表面,图8(c)是沿着图8(a)的线C-C和D-D截取的横截面图。沿着线D-D的横截面示出了第二气体入口和第三气体入口形成在基座的主体中。图8(b)示出了基座的下表面,并且示出了多个凹部,即从基座的边缘朝向下表面的中心以规则间隔形成的第二气体入口。
图9示意性地示出了根据实施例的衬底处理装置。根据实施例的衬底处理设备可以是根据上述实施例的衬底处理设备的变型。在下文中,这里将不给出实施例的重复描述。
接下来,参照图9,可以在衬底的边缘区域特别是斜角区域中执行选择性蚀刻。
如图9所示,根据反应器结构实现不同的等离子体产生区域。图9(a)示出了在衬底上的整个反应空间上产生等离子体200。然而,图9(b)示出了仅在衬底的边缘区域特别是斜角区域上产生等离子体200'。这种差异可能是由于衬底与电极之间的距离特别是基座与上电极(例如气体供应单元210)之间的距离而产生的。
根据帕申定律,等离子体产生取决于反应空间中的压力和距离。即,当反应空间中的压力恒定时,在短距离反应空间中,气体分子的平均自由行程短,因此气体分子之间碰撞的可能性低并且难以电离。另外,由于加速距离短,所以放电困难,因此几乎不产生等离子体。通常,当反应空间的距离小于1mm时,难以产生等离子体。
在图9(a)中,衬底S与电极210之间的反应空间的距离可以为1mm或更多。在这种情况下,当通过气体供应单元(即喷头电极210)将气体供应到反应空间并且供应RF功率时,可以在衬底上的反应空间中产生等离子体200。
在图9(b)中,衬底S上的反应空间即第一反应空间距基座的内部的距离可以为1mm或更小,结果,在第一反应空间中的等离子体难以产生,即使当供应气体和RF电极时。然而,在具有斜角区域(其是衬底的边缘区域)的第二反应空间中,因为基座是凹入的,所以电极210和220之间的距离可以是1mm或更大,使得等离子体200'可以在第二反应空间中产生。因此,该反应器结构允许在衬底的斜角区域中蚀刻和沉积。
根据本发明构思的实施例使用该原理,并且通过引入凹入结构,使得反应空间距基座的内部的距离例如衬底与电极之间的距离在约1mm内,并且反应空间距衬底的斜角区域即基座的外围部分的距离为1mm或更多,可以在衬底的斜角区域中容易地实现等离子体产生。
图10是根据本发明构思的实施例的衬底处理设备的视图。根据实施例的衬底处理设备可以是根据上述实施例的衬底处理设备的变型。在下文中,这里将不给出实施例的重复描述。
参照图10,在衬底的斜角区域中,可以去除沉积在衬底上的膜。例如,碳膜可以沉积在衬底8上。可以通过气体供应单元1的第一气体入口5将作为第一气体的氩气或氮气供应到第一反应空间12。可以通过基座3的第二气体入口6和第三气体入口7将作为第二气体的氧气供应到第二反应空间13。
根据一示例,第一反应空间12的第一距离d可以是1mm或更小。另外,第二反应空间13的第二距离D可以为3mm或更多。当将RF功率供应至气体供应单元1时,由于短第一距离d,在第一反应空间12中不产生等离子体,但可以在第二反应空间13中产生等离子体。特别地,当通过第二和第三气体入口供应的氧气离子化时,可产生氧等离子体。在这种情况下,氧自由基和衬底斜角区域的碳薄膜可以反应以去除衬底的斜角区域的碳薄膜。
根据本公开的技术特征之一,无论衬底位于第二反应空间L的长度L内何处,都可以确保在衬底上具有相同宽度的斜角蚀刻区域。即,无论衬底8在基座3上的对准位置如何,都可以在衬底上进行相同宽度的对称斜角蚀刻。
更详细地,只要衬底的边缘区域在第二反应空间的长度L的区域中,就可以通过调节RF功率的大小或在其中流动的第一气体和第二气体的流量比来实现对称斜角蚀刻。由于气体供应单元1的下表面即面对衬底的表面是平坦的而没有弯曲,并且衬底8的上表面与气体供应单元10的下表面之间的第一距离d是恒定的,所以在衬底的上表面上不产生等离子体,并且通过调节RF功率的大小和气体的流量比,可以相对于衬底的侧表面和下表面实现对称斜角蚀刻。
图11示出了通过氧自由基与碳薄膜的反应去除碳薄膜。在图11中,碳薄膜的碳成分可通过与氧自由基反应而转化为CO2气体并被去除。如图11所示,可以看出,通过实现具有不同宽度的反应空间,选择性地去除了衬底的斜角区域的薄膜。根据另一实施例,如上所述,可以根据施加的RF功率的条件来控制衬底的斜角区域的薄膜的去除,因此在不对衬底进行对准操作的情况下,可以实现选择性地去除衬底的斜角区域的薄膜。
图12示出了根据RF功率施加时间从衬底的上边缘去除碳薄膜的区域。在300℃的加热块、800瓦的RF功率、500sccm的Ar(第一气体)、1500sccm的O2(第二气体)和反应器中3Torr的压力的条件下获得图12中的实验结果。
如图12所示,在本实验中,可以看出,当施加RF功率60秒时,在距衬底边缘1mm远的衬底的内部去除了23%的碳薄膜,在距衬底边缘2mm远的部分去除了10%的碳薄膜,在距衬底边缘3mm远的部分去除了3%的碳薄膜。
另外,在本实验中,可以看出,当施加RF功率120秒时,在距衬底边缘1mm远的衬底的内部去除了44%的碳薄膜,在2mm远部分去除了26%的碳薄膜,在3mm远部分去除了9%的碳薄膜。
另外,在本实验中,可以看出,当施加RF功率180秒时,在距衬底边缘1mm远的衬底的内部去除了93%的碳薄膜,在距衬底边缘2mm远的部分去除了51%的碳薄膜,在距衬底边缘3mm远的部分去除了27%的碳薄膜。在图13中更详细地示出了通过位置去除碳薄膜。
在图12至13中,供应氧气以去除碳薄膜,但本发明构思不限于此。例如,可以在衬底上沉积SiO2、SiN、Poly-Si和金属薄膜,在这种情况下,作为包括与薄膜反应的材料的第二气体,可以使用包括F的气体,例如蚀刻气体,比如F2、NF3、ClF3和Cl2
图14示出了从实际衬底的上表面的边缘1mm去除碳薄膜,这在图12的上述处理条件下在施加RF功率180秒的条件下进行。
如图14所示,在衬底的边缘1mm去除90%或更多的碳薄膜,并且朝向衬底的内部逐渐减少去除的薄膜的量。
在图12至14中控制RF功率施加时间,但通过控制第一反应空间和第二反应空间之间的压力比可以实现相同的效果。即,通过控制第一气体和第二气体的供应比,可以实现在斜角区域中的选择性薄膜去除。
例如,在图12至14中,作为第一气体的Ar和作为第二气体的O2以1:3(即500sccm:1500sccm)的比例被供应。然而,在替代实施例中,可以减小第一气体的供应流量以在衬底的上表面的边缘处延伸氧自由基的供应区域,并且在这种情况下,可以扩大去除碳薄膜的区域。
另外,根据其他实施例,可以通过改变反应器结构(参见图15)来实现相同的效果。参考图15,其示意性地示出了根据本发明构思的实施例的衬底处理设备,在气体供应单元1的边缘部分处引入台阶以扩大对应区域的反应空间距离d2。由于扩大了反应空间距离d2,所以可以产生更大量的等离子体,并且可以控制在衬底的上边缘部分中去除薄膜的区域。
在图15的实施例中,根据形成在气体供应单元1的边缘处的台阶区域L'的宽度确定在衬底的边缘处的斜角蚀刻区域的宽度。因此,与图10不同,对于斜角蚀刻宽度的对称性,衬底在基座4上的对准将是重要的处理变量。即,当通过在气体供应单元的边缘处提供阶梯结构以执行斜角蚀刻功能而在衬底的边缘处产生等离子体时,由于气体供应单元的下表面与衬底的上表面之间的距离不是恒定的(例如d1≠d2),所以衬底在基座上的对准对于确保恒定的蚀刻宽度很重要。
如以上参考图12至14所述,通过调节供应到反应空间的RF功率的大小和进入的气体之间的流量比而无论衬底在基座上的对准如何,可以在衬底的边缘处获得具有均匀宽度的斜角去除区域。
应当理解,本文描述的实施例应仅在描述性意义上考虑,而不是出于限制的目的。每个实施例内的特征或方面的描述通常应被认为可用于其他实施例中的其他类似特征或方面。尽管已经参考附图描述了一个或多个实施例,但本领域普通技术人员将理解,可以在不脱离如由以下权利要求限定的本发明的精神和范围的情况下对形式和细节进行各种改变。

Claims (20)

1.一种用于支撑待处理衬底的衬底支撑板,所述衬底支撑板包括:
内部,其上表面的面积小于待处理衬底的面积;以及
围绕内部的外围部分,
其中,所述外围部分的上表面在所述内部的上表面下方,并且
所述外围部分包括至少一个路径。
2.根据权利要求1所述的衬底支撑板,
还包括:设置在所述内部上的至少一个垫。
3.根据权利要求1所述的衬底支撑板,
其中,所述路径从外围部分的一部分延伸到外围部分的另一部分。
4.根据权利要求3所述的衬底支撑板,
其中,所述路径包括:
第一部分,其从衬底支撑板的侧表面朝向外围部分延伸;以及
第二部分,其从外围部分朝向衬底支撑板的上表面延伸。
5.根据权利要求1所述的衬底支撑板,
其中,所述路径包括多个路径,并且
所述多个路径相对于衬底支撑板的中心对称地形成。
6.根据权利要求5所述的衬底支撑板,
其中,所述内部包括通孔,其直径不同于所述路径的直径。
7.根据权利要求1所述的衬底支撑板,
其中,从衬底支撑板的中心到所述路径的距离小于待处理衬底的半径。
8.一种衬底处理设备,包括:
衬底支撑板,包括内部,其上表面的面积小于待处理衬底的面积;以及围绕内部的外围部分,其中,所述外围部分的上表面在所述内部的上表面下方;以及
衬底支撑板上的气体供应单元,
其中,所述内部和气体供应单元之间的第一距离小于所述外围部分和气体供应单元之间的第二距离。
9.根据权利要求8所述的衬底处理设备,
其中,当将待处理衬底安装在所述内部上时,待处理衬底与气体供应单元之间的距离为约1mm或更小,并且
所述外围部分和气体供应单元之间的第二距离为约3mm或更大。
10.根据权利要求8所述的衬底处理设备,
其中,所述内部形成衬底支撑板的凸部,并且所述外围部分形成衬底支撑板的凹部。
11.根据权利要求8所述的衬底处理设备,
其中,所述气体供应单元包括多个注入孔,其分布在小于待处理衬底的面积上。
12.根据权利要求11所述的衬底处理设备,
其中,所述多个注入孔分布在小于所述内部的上表面的面积上。
13.根据权利要求8所述的衬底处理设备,
其中,所述气体供应单元包括多个注入孔,并且
在分布有多个注入孔的区域中的气体供应单元的第一下表面与分布有多个注入孔的区域之外的气体供应单元的第二下表面齐平。
14.根据权利要求13所述的衬底处理设备,
其中,待处理衬底的上表面与气体供应单元的第一下表面之间的距离以及待处理衬底的上表面与气体供应单元的第二下表面之间的距离是恒定的,因此,在没有单独的对准操作的情况下,对设置在所述外围部分和气体供应单元之间的待处理衬底的边缘区域上的薄膜进行处理。
15.根据权利要求8所述的衬底处理设备,
其中,在所述衬底支撑板和气体供应单元之间形成反应空间,并且
所述反应空间包括:
在所述内部和气体供应单元之间的第一反应空间;以及
在外围部分和气体供应单元之间的第二反应空间。
16.根据权利要求15所述的衬底处理设备,
其中,在所述气体供应单元和衬底支撑板之间供应电力以产生等离子体,并且
在第一反应空间中产生的等离子体少于在第二反应空间中产生的等离子体。
17.根据权利要求8所述的衬底处理设备,
所述外围部分包括至少一个路径。
18.根据权利要求17所述的衬底处理设备,
其中,所述衬底处理设备配置为通过所述路径供应与待处理衬底上的薄膜反应的气体。
19.根据权利要求18所述的衬底处理设备,
其中,所述衬底处理设备配置为通过气体供应单元供应不同于与薄膜反应的气体的气体。
20.一种衬底处理方法,包括:
将待处理衬底安装在根据权利要求8所述的衬底处理设备的衬底支撑板上;
通过在衬底支撑板上的气体供应单元和衬底支撑板之间供应电力来产生等离子体;以及
使用等离子体去除待处理衬底的边缘区域上的薄膜的至少一部分,
其中,在产生等离子体期间,在所述内部和气体供应单元之间的第一空间中产生的等离子体少于在所述外围部分和气体供应单元之间的第二空间中产生的等离子体。
CN202011237367.7A 2019-12-02 2020-11-09 衬底支撑板、包括它的衬底处理设备以及衬底处理方法 Pending CN112992637A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962942617P 2019-12-02 2019-12-02
US62/942,617 2019-12-02

Publications (1)

Publication Number Publication Date
CN112992637A true CN112992637A (zh) 2021-06-18

Family

ID=76091751

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011237367.7A Pending CN112992637A (zh) 2019-12-02 2020-11-09 衬底支撑板、包括它的衬底处理设备以及衬底处理方法

Country Status (4)

Country Link
US (1) US20210166910A1 (zh)
KR (1) KR20210069592A (zh)
CN (1) CN112992637A (zh)
TW (1) TW202138617A (zh)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6291347B1 (en) * 1999-10-08 2001-09-18 Texas Instruments Incorporated Method and system for constructing semiconductor devices
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
US7326358B2 (en) * 2004-09-27 2008-02-05 Tokyo Electron Limited Plasma processing method and apparatus, and storage medium
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US20080179288A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side scavenger plasma
US20090269506A1 (en) * 2008-04-24 2009-10-29 Seiji Okura Method and apparatus for cleaning of a CVD reactor
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control

Also Published As

Publication number Publication date
KR20210069592A (ko) 2021-06-11
TW202138617A (zh) 2021-10-16
US20210166910A1 (en) 2021-06-03

Similar Documents

Publication Publication Date Title
CN112992637A (zh) 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
CN112981372B (zh) 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
KR20240060754A (ko) 개선된 게르마늄 식각 시스템들 및 방법들
US9595425B2 (en) Antenna, dielectric window, plasma processing apparatus and plasma processing method
US8394231B2 (en) Plasma process device and plasma process method
JPH09283459A (ja) 基板処理方法および基板処理装置
WO2003096400A1 (fr) Equipement et dispositif de traitement de plasma
US8906471B2 (en) Method of depositing metallic film by plasma CVD and storage medium
JP2003197615A (ja) プラズマ処理装置およびそのクリーニング方法
US20180061655A1 (en) Method of processing target object
US20050279457A1 (en) Plasma processing apparatus and method, and plasma control unit
KR20200051600A (ko) 개선된 금속 콘택 랜딩 구조
KR102496968B1 (ko) 에칭 방법
JP7458195B2 (ja) 載置台、プラズマ処理装置及びクリーニング処理方法
US20090314435A1 (en) Plasma processing unit
WO2005067022A1 (ja) シャワープレート、プラズマ処理装置、及び製品の製造方法
CN111326443A (zh) 用于制造半导体器件的设备
US9741540B2 (en) Method for surface treatment of upper electrode, plasma processing apparatus and upper electrode
JP4151308B2 (ja) 処理装置のガス導入方法
KR20240003446A (ko) 고 종횡비 피쳐들에서의 금속 식각
JP6280408B2 (ja) 処理ガス流量の決定方法
JP2007184611A (ja) プラズマ処理装置およびプラズマ処理方法
KR100725614B1 (ko) 플라즈마 처리 장치
JPH07106300A (ja) 静電チャック装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination