KR20240003446A - 고 종횡비 피쳐들에서의 금속 식각 - Google Patents

고 종횡비 피쳐들에서의 금속 식각 Download PDF

Info

Publication number
KR20240003446A
KR20240003446A KR1020237041399A KR20237041399A KR20240003446A KR 20240003446 A KR20240003446 A KR 20240003446A KR 1020237041399 A KR1020237041399 A KR 1020237041399A KR 20237041399 A KR20237041399 A KR 20237041399A KR 20240003446 A KR20240003446 A KR 20240003446A
Authority
KR
South Korea
Prior art keywords
containing precursor
fluorine
etching
plasma
substrate
Prior art date
Application number
KR1020237041399A
Other languages
English (en)
Inventor
바이웨이 왕
샤오린 씨. 천
로한 풀리고루 레디
올리버 잔
전지앙 쿠이
안추안 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240003446A publication Critical patent/KR20240003446A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

예시적인 식각 방법들은 플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 2차 가스는 산소 또는 질소일 수 있거나 이를 포함할 수 있다. 플루오린 함유 전구체 대 2차 가스의 유량 비율은 약 1:1 이상일 수 있다. 방법들은 기판을 플루오린 함유 전구체 및 2차 가스와 접촉시키는 단계를 포함할 수 있다. 기판은 노출된 금속을 포함할 수 있다. 기판은 고 종횡비 구조를 한정할 수 있다. 방법들은, 고 종횡비 구조 내의 노출된 금속을 식각하는 단계를 포함할 수 있다.

Description

고 종횡비 피쳐들에서의 금속 식각
본 출원은 2021년 5월 4일자로 출원되고 발명의 명칭이 "METAL ETCH IN HIGH ASPECT-RATIO FEATURES"인 미국 정식 출원 번호 17/307,636의 이익 및 그에 대한 우선권을 주장하며, 상기 미국 정식 출원의 내용은 그 전체가 모든 목적을 위해 본원에 참조로 포함된다.
본 기술은 반도체 프로세스들 및 장비에 관한 것이다. 더 구체적으로, 본 기술은 고 종횡비 피쳐들 내에서의 식각에 관한 것이다.
집적 회로들은, 복잡하게 패터닝된 물질 층들을 기판 표면들 상에 생성하는 프로세스들에 의해 가능해진다. 패터닝된 물질을 기판 상에 생성하는 것은, 노출된 물질의 제거를 위한 제어된 방법들을 필요로 한다. 포토레지스트의 패턴을 아래 놓인 층들 내에 전사하는 것, 층들을 박형화하는 것, 또는 표면 상에 이미 존재하는 피쳐들의 측방향 치수들을 박형화하는 것을 포함하는 다양한 목적들을 위해 화학적 식각이 사용된다. 종종, 하나의 물질을 다른 물질보다 더 빠르게 식각하여, 예를 들어, 패턴 전사 프로세스를 용이하게 하는 식각 프로세스를 갖는 것이 바람직하다. 그러한 식각 프로세스를 제1 물질에 대해 선택적이라고 한다. 물질들, 회로들, 및 프로세스들의 다양성의 결과로서, 다양한 물질들에 대하여 선택도를 갖는 식각 프로세스들이 개발되었다.
식각 프로세스들은 프로세스에 사용되는 물질들에 기초하여 습식 또는 건식으로 칭해질 수 있다. 습식 HF 식각은 다른 유전체들 및 물질들에 비해 산화규소를 우선적으로 제거한다. 그러나, 습식 프로세스들은 일부 제약된 트렌치들에 침투하는데 어려움을 가질 수 있고, 또한, 때로는 나머지 물질을 변형시킬 수 있다. 기판 처리 영역 내에 형성된 국부 플라즈마들에서 야기되는 건식 식각들은, 더 제약된 트렌치들을 관통할 수 있고 섬세한 나머지 구조들의 더 적은 변형을 보여줄 수 있다. 그러나, 국부 플라즈마들은 방전 시에 전기 아크들의 생성을 통해 기판을 손상시킬 수 있다.
따라서, 고품질 디바이스들 및 구조들을 생성하기 위해 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이러한 그리고 다른 필요들이 본 기술에 의해 해결된다.
예시적인 식각 방법들은 플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 2차 가스는 산소 또는 질소일 수 있거나 이를 포함할 수 있다. 플루오린 함유 전구체 대 2차 가스의 유량 비율은 약 1:1 이상일 수 있다. 방법들은 기판을 플루오린 함유 전구체 및 2차 가스와 접촉시키는 단계를 포함할 수 있다. 기판은 노출된 금속을 포함할 수 있다. 기판은 고 종횡비 구조를 한정할 수 있다. 방법들은, 고 종횡비 구조 내의 노출된 금속을 식각하는 단계를 포함할 수 있다.
일부 실시예들에서, 방법들은 플루오린 함유 전구체 및 2차 가스의 플라즈마를 형성하는 단계를 포함할 수 있다. 처리 영역 내의 온도는 약 500 ℃ 이하로 유지될 수 있다. 고 종횡비 구조는 3D NAND 구조의 메모리 홀일 수 있거나 이를 포함할 수 있다. 노출된 금속은 메모리 홀에 수직으로 형성된 리세스들 내로 측방향으로 연장될 수 있다. 방법들은, 노출된 금속을 식각하는 단계에 후속하여, 반도체 처리 챔버의 처리 영역을 퍼징하는 단계를 포함할 수 있다. 방법들은 산소 함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 방법들은, 산화된 금속을 생성하기 위해, 노출된 금속을 산소 함유 전구체의 플라즈마 유출물들과 접촉시키는 단계를 포함할 수 있다. 플루오린 함유 전구체는 제1 플루오린 함유 전구체일 수 있다. 방법들은, 제2 플루오린 함유 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은, 산화된 금속을 제2 플루오린 함유 전구체와 접촉시키는 단계를 포함할 수 있다. 산화된 금속을 제2 플루오린 함유 전구체와 접촉시키는 동안 처리 영역은 플라즈마가 없는 상태로 유지될 수 있다. 방법은 약 1 Torr 내지 약 50 Torr의 챔버 작동 압력에서 수행될 수 있다. 방법들은, 노출된 금속을 식각하는 단계에 후속하여, 염소 함유 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 염소 함유 전구체는 잔류 플루오린을 스캐빈징(scavenge)할 수 있다. 고 종횡비 구조 내의 노출된 금속을 식각한 후의 최상부-대-바닥 로딩 값은 약 1.5 이하일 수 있다.
본 기술의 일부 실시예들은 식각 방법들을 포괄할 수 있다. 방법들은, 제1 플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은, 기판을 제1 플루오린 함유 전구체 및 2차 가스와 접촉시키는 단계를 포함할 수 있다. 기판은 노출된 금속을 포함할 수 있다. 기판은 3D NAND 구조에 메모리 홀을 한정할 수 있다. 노출된 금속은 메모리 홀에 수직으로 형성된 리세스들 내로 측방향으로 연장될 수 있다. 방법들은 메모리 홀 내의 노출된 금속을 식각하는 단계를 포함할 수 있다. 방법들은 산소 함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 방법들은, 산화된 금속을 생성하기 위해, 노출된 금속을 산소 함유 전구체의 플라즈마 유출물들과 접촉시키는 단계를 포함할 수 있다. 방법들은 제2 플루오린 함유 전구체를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은 산화된 금속을 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 2차 가스는 산소 또는 질소를 포함할 수 있다. 제1 플루오린 함유 전구체 대 2차 가스의 유량 비율은 약 1:1 이상일 수 있다. 방법들은, 제1 플루오린 함유 전구체 및 2차 가스의 플라즈마를 형성하는 단계를 포함할 수 있다. 반도체 처리 챔버 내의 온도는 약 200 ℃ 내지 약 500 ℃로 유지될 수 있다. 반도체 처리 챔버 내의 압력은 약 1 Torr 내지 약 50 Torr로 유지될 수 있다. 제2 플루오린 함유 전구체를 반도체 처리 챔버의 처리 영역 내로 유동시키는 동안 처리 영역은 플라즈마가 없는 상태로 유지될 수 있다. 방법들은, 노출된 금속을 식각하는 단계에 후속하여, 염소 함유 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 염소 함유 전구체는 노출된 금속으로부터 잔류 플루오린을 스캐빈징할 수 있다.
본 기술의 일부 실시예들은 식각 방법들을 포괄할 수 있다. 방법들은, 제1 플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 2차 가스는 산소 또는 질소를 포함할 수 있다. 방법들은, 기판을 제1 플루오린 함유 전구체 및 2차 가스와 접촉시키는 단계를 포함할 수 있다. 기판은 노출된 금속을 포함할 수 있고, 기판은 고 종횡비 구조를 한정할 수 있다. 방법들은, 고 종횡비 구조 내의 노출된 금속을 식각하는 단계를 포함할 수 있다. 방법들은, 산화된 금속을 생성하기 위해, 노출된 금속을 산소 함유 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 제2 플루오린 함유 전구체를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은 산화된 금속을 제거하는 단계를 포함할 수 있다. 방법은 약 500 ℃ 이하의 챔버 작동 온도로 수행될 수 있다.
그러한 기술은 종래의 시스템들 및 기법들에 비해 많은 이익들을 제공할 수 있다. 예를 들어, 프로세스들은, 금속이 고 종횡비 피쳐들로부터 제거되는 것을 허용할 수 있다. 추가적으로, 프로세스들은 수행 중인 식각 프로세스들 동안 다양한 측벽 프로파일들을 제공할 수 있다. 이러한 실시예들 및 다른 실시예들은, 자신들의 많은 장점들 및 특징들과 함께, 이하의 설명 및 첨부 도면들과 함께 더 상세히 설명된다.
개시된 기술의 속성 및 장점들의 추가적인 이해는, 본 명세서의 나머지 부분들 및 도면들을 참조하여 실현될 수 있다.
도 1은 본 기술의 일부 실시예들에 따른 예시적인 처리 시스템의 일 실시예의 상면도를 도시한다.
도 2a는 본 기술의 일부 실시예들에 따른 예시적인 처리 챔버의 개략적인 단면도를 도시한다.
도 2b는, 본 기술의 일부 실시예들에 따른, 도 2a에 예시된 처리 챔버의 부분의 상세도를 도시한다.
도 3은 본 기술의 일부 실시예들에 따른 예시적인 샤워헤드의 저면도를 도시한다.
도 4는 본 기술의 일부 실시예들에 따른 방법의 예시적인 작동들을 도시한다.
도 5a-5c는 본 기술의 일부 실시예들에 따라 처리되고 있는 기판들의 단면도들을 도시한다.
도면들 중 몇몇은 개략도들로서 포함된다. 도면들은 예시적인 목적들을 위한 것이며, 축척을 고려했다고 구체적으로 언급되지 않는 한, 축척을 고려하지 않은 것임을 이해해야 한다. 추가적으로, 개략도들로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들과 비교하여 모든 양상들 또는 정보를 포함하지 않을 수 있고, 예시적인 목적들을 위해 과장된 성분을 포함할 수 있다.
첨부 도면들에서, 유사한 구성요소들 및/또는 피쳐들은 동일한 참조 라벨을 가질 수 있다. 또한, 동일한 유형의 다양한 구성요소들은, 유사한 구성요소들을 구별하는 문자를 참조 라벨 뒤에 둠으로써 구별될 수 있다. 첫 번째 참조 라벨만이 본 명세서에 사용되는 경우, 본 설명은, 문자에 관계없이, 동일한 첫 번째 참조 라벨을 갖는 유사한 구성요소들 중 임의의 것에 적용가능하다.
2D NAND로부터 3D NAND로의 전이 시에, 많은 프로세스 작동들이 수직 작동들로부터 수평 작동들로 변경된다. 추가적으로, 3D NAND 구조들이, 형성되는 셀들의 개수가 증가함에 따라, 메모리 홀들 및 다른 구조들의 종횡비들이 때때로 극적으로 증가한다. 3D NAND 처리 동안, 유전체 물질들 및 플레이스홀더 층들의 스택들은 전극간 유전체 또는 IPD 층들을 형성할 수 있다. 이러한 플레이스홀더 층들은, 물질을 완전히 제거하고 이를 금속으로 대체하기 전에 구조들을 배치하기 위해 수행되는 다양한 작동들을 가질 수 있다. 금속이, 메모리 셀들의 부분들로서 유전체의 층들 사이에 그리고 구조를 따라 형성되는 금속화가 수행될 수 있다. 금속은 메모리 홀들의 측벽들을 따라 그리고 함몰된 부분들 내에 연장될 수 있고, 메모리 홀 구조 내의 개별 셀들을 분리하기 위해 후속 식각이 수행될 수 있다.
많은 종래의 기술들은, 이러한 구조들을 생성하기 위해, 미래의 프로세스 노드들에서 적절하게 수행될 수 없을 수 있는 식각 프로세스들을 활용한다. 예를 들어, 구조 내의 셀들의 개수가 수백 개의 셀로 증가함에 따라, 메모리 홀들은 수 미크론의 깊이로 형성될 수 있다. 습식 식각들의 강건한 식각 때문에, 습식 식각은, 구조의 바닥이 식각제에 의해 접근되기 훨씬 전에, 구조의 최상부에 더 가까운 피쳐들을 식각하기 시작할 수 있다. 추가적으로, 작은 폼 팩터 구조들의 습식 식각은 식각제의 표면 장력으로 인해 패턴 붕괴 또는 변형을 야기할 수 있다. 습식 식각제들을 사용하는 것은 또한, 트렌치들 또는 홀들 내에 형성된 잔류물들을 제거하기 위한 후속 작동들에 대한 필요성을 생성할 수 있다. 건식 식각 기법들이 또한 수행될 수 있지만, 유사한 로딩 문제들이 발생할 수 있다. 예를 들어, 트렌치 내의 깊은 곳에 접근하는 데 필요한 시간 때문에, 식각은 구조의 최상부에 더 가까이에서 이미 발생하고 있을 수 있다. 최상부-대-바닥 로딩 값으로 알려진, 구조의 최상부에서 식각된 금속의 양 대 구조의 바닥에서 식각된 양의 비율은 일부 경우들에서 약 4 이상일 수 있다. 이에 따라, 최상부 피쳐들은, 구조의 바닥에 더 가까이에서의 셀 분리 또는 식각 완료 이전에 과다식각될 수 있다.
본 기술은 사용되는 식각제와 보호 가스를 통합함으로써 최상부-대-바닥 로딩 값의 제어를 허용할 수 있는 건식 식각 프로세스를 수행함으로써 이러한 문제들을 극복한다. 보호 가스는 식각될 금속에 걸친 부위들을 점유할 수 있고, 이는 식각제들이 고 종횡비 구조를 관통하는 동안 구조의 최상부에 더 가까이에서의 식각을 제한하거나 감소시킬 수 있다. 이는, 조정가능한 식각 프로파일이 구조를 따라 형성되는 것을 허용할 수 있고, 이는, 구조의 깊이에 관계없이 프로세스의 균일성을 증가시킬 수 있는, 식각에 대한 추가적인 제어를 제공할 수 있다.
나머지 개시내용은 개시된 기술을 활용하는 특정 식각 프로세스들을 일상적으로 식별할 것이지만, 시스템들 및 방법들이, 설명된 챔버들에서 발생할 수 있는 증착 및 세정 프로세스들에 동등하게 적용가능하다는 것을 쉽게 이해할 것이다. 이에 따라, 본 기술은 식각 프로세스들 또는 챔버들과만 사용하기 위한 것으로 제한되는 것으로 간주되어서는 안 된다. 게다가, 예시적인 챔버가 본 기술에 대한 토대를 제공하는 것으로 설명되지만, 본 기술은 설명된 작동들을 허용할 수 있는 사실상 임의의 반도체 처리 챔버에 적용될 수 있다는 것을 이해해야 한다.
도 1은, 실시예들에 따른 증착, 식각, 베이킹, 및 경화 챔버들의 처리 시스템(100)의 일 실시예의 상면도를 도시한다. 도면에서, 전방 개구부 통합 포드들(102)의 쌍이, 다양한 크기들의 기판들을 공급하며, 이 기판들은, 탠덤 섹션들(109a-c)에 위치된 기판 처리 챔버들(108a-f) 중 하나 내에 배치되기 전에, 로봇 암들(104)에 의해 수용되고 저압 유지 영역(106) 내에 배치된다. 제2 로봇 암(110)은, 기판 웨이퍼들을 유지 영역(106)으로부터 기판 처리 챔버들(108a-f)로 그리고 되돌려 운송하는 데 사용될 수 있다. 각각의 기판 처리 챔버(108a-f)는, 주기적 층 증착, 원자 층 증착, 화학 기상 증착, 물리 기상 증착, 식각, 사전 세정, 탈기, 배향, 및 다른 기판 프로세스들에 추가하여, 본원에 설명된 건식 식각 프로세스들을 포함하는 다수의 기판 처리 작동들을 수행하도록 구비될 수 있다.
기판 처리 챔버들(108a-f)은 유전체 막을 기판 웨이퍼 상에 증착, 어닐링, 경화 및/또는 식각하기 위한 하나 이상의 시스템 구성요소를 포함할 수 있다. 일 구성에서, 처리 챔버들의 2개의 쌍들(예를 들어, 108c-d 및 108e-f)은 기판 상에 유전체 물질을 증착시키는 데에 사용될 수 있고, 처리 챔버들의 제3 쌍(예를 들어, 108a-b)은 증착된 유전체를 식각하는 데 사용될 수 있다. 다른 구성에서, 챔버들의 3개의 쌍들 전부(예를 들어, 108a-f)가 기판 상의 유전체 막을 식각하도록 구성될 수 있다. 설명되는 프로세스들 중 임의의 하나 이상의 프로세스는 상이한 실시예들에 도시된 제조 시스템으로부터 분리된 하나 이상의 챔버에서 수행될 수 있다. 유전체 막들을 위한 증착, 식각, 어닐링, 및 경화 챔버들의 추가적인 구성들이 시스템(100)에 의해 고려될 수 있음을 이해할 것이다.
도 2a는, 구획된 플라즈마 생성 영역들을 처리 챔버 내에 갖고, 아래에 더 설명되는 바와 같은 프로세스들을 수행하도록 구성될 수 있는 예시적인 프로세스 챔버 시스템(200)의 단면도를 도시한다. 예컨대, 질화티타늄, 질화탄탈럼, 텅스텐, 규소, 폴리실리콘, 산화규소, 질화규소, 산질화규소, 산탄화규소, 또는 다른 물질들을 포함하는 막의 식각 동안, 프로세스 가스는 가스 유입구 조립체(205)를 통해 제1 플라즈마 영역(215) 내로 유동될 수 있다. 원격 플라즈마 시스템(201)이 시스템에 선택적으로 포함될 수 있고, 제1 가스를 처리할 수 있으며, 이 가스는 그 후 가스 유입구 조립체(205)를 통해 이동한다. 유입구 조립체(205)는 2개 이상의 별개의 가스 공급 채널들을 포함할 수 있고, 가스 공급 채널들에서 제2 채널은, 포함된 경우, 원격 플라즈마 시스템 유닛(201)을 우회할 수 있다.
냉각 판(203), 면판(217), 이온 억제기(223), 샤워헤드(225), 및 기판 지지부(265) - 기판 지지부는 기판 지지부 상에 배치된 기판(255)을 가짐 - 가 도시되며, 실시예들에 따라 각각 포함될 수 있다. 페디스털(265)은 열 교환 채널을 가질 수 있으며, 기판의 온도를 제어하기 위해 열 교환 채널을 통해 열 교환 유체가 유동하고, 열 교환 채널은 처리 작동들 동안 기판 또는 웨이퍼를 가열하고/거나 냉각하도록 작동될 수 있다. 알루미늄, 세라믹, 또는 이들의 조합을 포함할 수 있는, 페디스털(265)의 웨이퍼 지지 플래터는 또한, 비교적 높은 온도들, 예컨대, 최대 100 ℃ 또는 약 100 ℃ 내지 약 1100 ℃ 또는 1100 ℃ 초과를 달성하기 위해, 내장된 저항성 가열기 요소를 사용하여 저항성 가열될 수 있다.
면판(217)은 피라미드형, 원뿔형, 또는 좁은 최상부 부분이 넓은 바닥 부분으로 확장되는 다른 유사한 구조일 수 있다. 면판(217)은 추가적으로, 도시된 바와 같이 평평할 수 있고, 프로세스 가스들을 분배하는 데에 사용되는 복수의 관통 채널들을 포함할 수 있다. RPS(201)의 사용에 따라, 플라즈마 생성 가스들 및/또는 플라즈마 여기된 종들은, 제1 플라즈마 영역(215) 내로의 더 균일한 전달을 위해 면판(217)의, 도 2b에 도시된 복수의 홀들을 통과할 수 있다.
예시적인 구성들은, 가스들/종들이 면판(217)의 홀들을 통해 제1 플라즈마 영역(215) 내로 유동하도록, 면판(217)에 의해 제1 플라즈마 영역(215)으로부터 구획된 가스 공급 영역(258) 내로 개방된 가스 유입구 조립체(205)를 갖는 것을 포함할 수 있다. 구조적 및 작동적 피쳐들은 제1 플라즈마 영역(215)으로부터 다시 공급 영역(258), 가스 유입구 조립체(205) 및 유체 공급 시스템(210) 내로의 플라즈마의 상당한 역류를 방지하도록 선택될 수 있다. 면판(217), 또는 챔버의 전도성 최상부 부분, 및 샤워헤드(225)는 피쳐들 사이에 위치된 절연 링(220)을 갖는 것으로 도시되며, 절연 링은 AC 전위가 샤워헤드(225) 및/또는 이온 억제기(223)에 대해서 면판(217)에 인가되는 것을 허용한다. 절연 링(220)은 면판(217)과 샤워헤드(225) 및/또는 이온 억제기(223) 사이에 위치되어, 용량성 결합된 플라즈마가 제1 플라즈마 영역에 형성되는 것을 가능하게 할 수 있다. 가스 유입구 조립체(205)를 통하는, 영역 내로의 유체의 유동에 영향을 미치기 위해 배플이 제1 플라즈마 영역(215)에 추가적으로 위치되거나, 다른 방식으로 가스 유입구 조립체(205)와 결합될 수 있다. 일부 실시예들에서, 챔버 주위에 연장되거나 챔버와 유체 연통하는 유도성 결합된 플라즈마 공급원들뿐만 아니라 추가적인 플라즈마 생성 시스템들을 포함하는 추가적인 플라즈마 공급원들이 활용될 수 있다.
이온 억제기(223)는, 이온성 대전된 종들이 제1 플라즈마 영역(215) 밖으로 이동하는 것을 억제하는 한편, 대전되지 않은 중성 또는 라디칼 종들이 이온 억제기(223)를 통과하여 억제기와 샤워헤드 사이의 활성화된 가스 전달 영역 내로 전달되는 것을 허용하도록 구성된 구조 전반에 걸쳐 복수의 애퍼쳐들을 한정하는 판 또는 다른 기하형상을 포함할 수 있다. 실시예들에서, 이온 억제기(223)는 다양한 애퍼쳐 구성들을 갖는 천공된 판을 포함할 수 있다. 이러한 대전되지 않은 종들은, 덜 반응성인 캐리어 가스와 함께 애퍼쳐들을 통해 운송되는 고도의 반응성 종들을 포함할 수 있다. 위에서 언급된 바와 같이, 홀들을 통한 이온 종들의 이동이 감소될 수 있고, 일부 경우들에서는 완전히 억제될 수 있다. 이온 억제기(223)를 통과하는 이온 종들의 양을 제어하는 것은 유리하게, 아래 놓인 웨이퍼 기판과 접촉하게 되는 가스 혼합물에 대한 증가된 제어를 제공할 수 있고, 이는 차례로, 가스 혼합물의 증착 및/또는 식각 특징들의 제어를 증가시킬 수 있다. 예를 들어, 가스 혼합물의 이온 농도의 조정들은 가스 혼합물의 식각 선택도, 예를 들어, SiNx:SiOx 식각 비율들, Si:SiOx 식각 비율들 등을 상당히 변경할 수 있다. 증착이 수행되는 대안적인 실시예들에서, 또한, 유전체 물질들에 대한 등각성-대-유동성 양식의 증착들의 균형이 변화될 수 있다.
이온 억제기(223)의 복수의 애퍼쳐들은, 이온 억제기(223)를 통해, 활성화된 가스, 즉, 이온, 라디칼, 및/또는 중성 종의 통과를 제어하도록 구성될 수 있다. 예를 들어, 홀들의 종횡비, 또는 홀 직경 대 길이, 및/또는 홀들의 기하형상은, 이온 억제기(223)를 통과하는 활성화된 가스의 이온성 대전된 종들의 유동이 감소되도록 제어될 수 있다. 이온 억제기(223)의 홀들은 플라즈마 여기 영역(215)을 향하는 테이퍼형 부분, 및 샤워헤드(225)를 향하는 원통형 부분을 포함할 수 있다. 원통형 부분은 샤워헤드(225)로 전달되는 이온 종들의 유동을 제어하기 위해 형상 및 치수가 결정될 수 있다. 조정가능한 전기 바이어스가 또한, 억제기를 통해 이온 종들의 유동을 제어하기 위한 추가적인 수단으로서 이온 억제기(223)에 인가될 수 있다.
이온 억제기(223)는 플라즈마 생성 영역으로부터 기판으로 이동하는 이온성 대전된 종들의 양을 감소시키거나 제거하도록 기능할 수 있다. 대전되지 않은 중성 및 라디칼 종들은 기판과 반응하기 위해 이온 억제기의 개구부들을 여전히 통과할 수 있다. 실시예들에서, 기판을 둘러싸는 반응 영역에서의 이온성 대전된 종들의 완전한 제거가 수행되지 않을 수 있다는 점을 주목해야 한다. 특정 경우들에서, 이온 종들은 식각 및/또는 증착 프로세스를 수행하기 위해 기판에 도달하도록 의도된다. 이러한 경우들에서, 이온 억제기는 반응 영역에서의 이온 종들의 농도를 프로세스를 보조하는 수준으로 제어하는 것을 도울 수 있다.
이온 억제기(223)와 조합된 샤워헤드(225)는, 여기된 종들이 챔버 플라즈마 영역(215)으로부터 기판 처리 영역(233) 내로 이동하는 것을 여전히 허용하면서, 제1 플라즈마 영역(215)에 존재하는 플라즈마가 기판 처리 영역(233)의 가스들을 직접 여기시키는 것을 회피하는 것을 허용할 수 있다. 이러한 방식으로, 챔버는, 플라즈마가, 식각되는 기판(255)과 접촉하는 것을 방지하도록 구성될 수 있다. 이는 생성된 플라즈마에 의해 직접 접촉된 경우에 손상되거나, 전위되거나, 다른 방식으로 휠 수 있는, 기판 상에 패터닝된 다양한 복잡한 구조들 및 막들을 유리하게 보호할 수 있다. 추가적으로, 플라즈마가 기판과 접촉하거나 기판 수준에 접근하는 것이 허용될 때, 산화물 종들이 식각되는 속도가 증가할 수 있다. 이에 따라, 물질의 노출된 영역이 산화물인 경우, 이러한 물질은, 플라즈마를 기판으로부터 원격으로 유지함으로써 더 보호될 수 있다.
처리 시스템은 플라즈마를 제1 플라즈마 영역(215) 또는 처리 영역(233)에 생성하기 위해 면판(217), 이온 억제기(223), 샤워헤드(225), 및/또는 페디스털(265)에 전력을 제공하기 위해 처리 챔버와 전기적으로 결합된 전력 공급부(240)를 더 포함할 수 있다. 전력 공급부는, 수행되는 프로세스에 따라, 조정가능한 양의 전력을 챔버에 전달하도록 구성될 수 있다. 그러한 구성은 조정가능한 플라즈마가, 수행되는 프로세스들에 사용되는 것을 허용할 수 있다. 종종 활성 또는 비활성 기능성이 제공되는 원격 플라즈마 유닛과 달리, 조정가능한 플라즈마는 특정 양의 전력을 플라즈마 영역(215)에 전달하도록 구성될 수 있다. 이는 차례로, 이러한 전구체들에 의해 생성되는 식각 프로파일들을 향상시키기 위해 전구체들이 특정 방식들로 해리될 수 있도록, 특정 플라즈마 특징들의 발달을 허용할 수 있다.
플라즈마는 샤워헤드(225) 위의 챔버 플라즈마 영역(215)에서 또는 샤워헤드(225) 아래의 기판 처리 영역(233)에서 점화될 수 있다. 예를 들어, 플루오린 함유 전구체 또는 다른 전구체의 유입으로부터 라디칼 전구체들을 생성하기 위해, 플라즈마가 챔버 플라즈마 영역(215)에 존재할 수 있다. 증착 동안 챔버 플라즈마 영역(215)의 플라즈마를 점화하기 위해, 처리 챔버의 전도성 최상부 부분, 예컨대, 면판(217)과 샤워헤드(225) 및/또는 이온 억제기(223) 사이에 전형적인 무선 주파수("RF") 범위의 AC 전압이 인가될 수 있다. RF 전력 공급부는 13.56 MHz의 높은 RF 주파수를 생성할 수 있지만, 또한, 다른 주파수들을 단독으로 또는 13.56 MHz 주파수와 조합하여 생성할 수 있다.
도 2b는 면판(217)을 통한 처리 가스 분배에 영향을 미치는 피쳐들의 상세도(253)를 도시한다. 도 2a 및 2b에 도시된 바와 같이, 면판(217), 냉각 판(203), 및 가스 유입구 조립체(205)는 가스 공급 영역(258)을 한정하도록 교차하며, 프로세스 가스들이 가스 유입구(205)로부터 가스 공급 영역 내로 전달될 수 있다. 가스들은 가스 공급 영역(258)을 채울 수 있고, 면판(217)의 애퍼쳐들(259)을 통해 제1 플라즈마 영역(215)으로 유동할 수 있다. 애퍼쳐들(259)은, 프로세스 가스들이 처리 영역(233) 내로 유동할 수 있지만, 면판(217)을 횡단한 후에 가스 공급 영역(258) 내로의 역류가 부분적으로 또는 완전히 방지될 수 있도록, 유동을 실질적으로 단방향 방식으로 지향시키도록 구성될 수 있다.
처리 챔버 섹션(200)에서 사용하기 위한 가스 분배 조립체들, 예컨대, 샤워헤드(225)는 이중 채널 샤워헤드들로서 지칭될 수 있고, 도 3에 설명된 실시예들에서 추가적으로 상세히 설명된다. 이중 채널 샤워헤드는, 처리 영역 내에 전달되기 전에 챔버 구성요소들과의 그리고 서로 간의 제한된 상호작용을 제공하기 위해 처리 영역(233) 외부에서의 식각제들의 분리를 허용하는 식각 프로세스들을 제공할 수 있다.
샤워헤드(225)는 상부 판(214) 및 하부 판(216)을 포함할 수 있다. 판들은 판들 사이에 용적(218)을 한정하기 위해 서로 결합될 수 있다. 판들의 결합은 상부 판 및 하부 판을 통한 제1 유체 채널들(219) 및 하부 판(216)을 통한 제2 유체 채널들(221)을 제공하기 위한 것일 수 있다. 형성된 채널들은, 제2 유체 채널들(221)만을 통해 하부 판(216)을 통해 용적(218)으로부터의 유체 접근을 제공하도록 구성될 수 있고, 제1 유체 채널들(219)은 판들과 제2 유체 채널들(221) 사이의 용적(218)으로부터 유체적으로 격리될 수 있다. 용적(218)은 가스 분배 조립체(225)의 측을 통해 유체적으로 접근가능할 수 있다.
도 3은 실시예들에 따른 처리 챔버와 사용하기 위한 샤워헤드(325)의 저면도이다. 샤워헤드(325)는 도 2a에 도시된 샤워헤드(225)에 대응할 수 있다. 제1 유체 채널들(219)의 모습을 보여주는 관통 홀들(365)은 샤워헤드(225)를 통한 전구체들의 유동을 제어하고 그에 영향을 미치기 위해 복수의 형상들 및 구성들을 가질 수 있다. 제2 유체 채널들(221)의 모습을 보여주는 작은 홀들(375)이 샤워헤드의 표면에 걸쳐, 심지어는 관통 홀들(365) 사이에도 실질적으로 균일하게 분포될 수 있고, 전구체들이 샤워헤드를 빠져나갈 때 다른 구성들보다 전구체들의 더 균일한 혼합을 제공하는 것을 도울 수 있다.
이전에 논의된 챔버는 식각 방법들을 포함하는 예시적인 방법들을 수행하는 데 사용될 수 있지만, 임의의 개수의 챔버들이, 본 기술의 실시예들에서 사용되는 하나 이상의 양상을 수행하도록 구성될 수 있다. 도 4를 참조하면, 본 기술의 실시예들에 따른 방법(400)에서의 예시적인 작동들이 도시된다. 방법(400)은, 방법의 개시 전에, 전공정 처리, 증착, 식각, 연마, 세정, 또는 설명된 작동들 전에 수행될 수 있는 임의의 다른 작동들을 포함하는 하나 이상의 작동을 포함할 수 있다. 방법들은, 본 기술의 실시예들에 따른 방법들의 일부 실시예들과 구체적으로 연관될 수 있거나 연관되지 않을 수 있는 다수의 선택적 작동들을 포함할 수 있다. 예를 들어, 작동들 중 다수는 수행되는 프로세스들의 더 넓은 범위를 제공하기 위해 설명되지만, 본 기술에 중요하지 않거나, 아래에 더 논의될 바와 같은 대안적인 방법에 의해 수행될 수 있다. 방법(400)은 도 5a-5c에 개략적으로 도시된 작동들을 설명할 수 있고, 그 예시들은 방법(400)의 작동들과 함께 설명될 것이다. 도면들은 부분적인 개략도들만을 예시하고, 기판은 도면들에 예시된 바와 같은 다양한 특징들 및 양상들을 갖는 임의의 개수의 추가적인 물질 및 피쳐가 포함할 수 있다는 것을 이해해야 한다.
방법(400)은 반도체 구조를 특정 제조 작동으로 발달시키기 위한 선택적 작동들을 수반할 수 있거나 수반하지 않을 수 있다. 방법(400)이, 도 5a에 예시된 바와 같이, 금속 물질 제거 작동이 수행될 수 있는 예시적인 구조들을 포함하는 임의의 개수의 반도체 구조 또는 기판(505)에 대해 수행될 수 있다는 것을 이해해야 한다. 도 5a에 예시된 바와 같이, 기판(505)은, 규소, 규소 게르마늄, 또는 다른 기판 물질들일 수 있는, 기판 위에 놓인 복수의 적층된 층들을 가질 수 있다. 층들은, 예를 들어, 질화규소 또는 폴리실리콘일 수 있는 플레이스홀더 물질(520)을 갖는 교번하는 층들에서, 산화규소일 수 있는 유전체 물질(510)을 포함하는 IPD 층들을 포함할 수 있다. 플레이스홀더 물질(520)은 후속 작동들에서 개별 메모리 셀들을 생성하기 위해 제거될 물질이거나 이를 포함할 수 있다. 물질의 단지 7개의 층들만 예시되지만, 예시적인 구조들은 물질의 수백 개의 층들을 포함하는 다수의 층들 중 임의의 층들을 포함할 수 있고, 도면들은 본 기술의 양상들을 예시하기 위한 개략도들일 뿐이라는 것을 이해해야 한다. 메모리 홀 또는 애퍼쳐일 수 있는 트렌치(530)는 적층된 구조를 통해 기판(505)의 높이까지 한정될 수 있다. 트렌치(530)는 유전체 물질(510) 및 플레이스홀더 물질(520)의 교번하는 층들로 구성될 수 있는 측벽들에 의해 한정될 수 있다. 예를 들어, 측벽들은 메모리 홀 또는 애퍼쳐의 반경일 수 있다. 단일 메모리 홀 구조만 예시되지만, 예시적인 기판들은 기판에 걸쳐 임의의 개수의 메모리 구조들을 포함할 수 있다는 것을 이해해야 한다.
리세스들이 플레이스홀더 물질들 내에 형성될 수 있는 이후에, 금속 물질(540)이 구조 상에 형성되거나 증착될 수 있다. 금속(540)은, 예시된 바와 같이, 구조 주위에 그리고 메모리 홀들 및 플레이스홀더 물질들에 형성된 각각의 리세스 내에 연장될 수 있다. 금속은, 3D NAND 또는 다른 반도체 구조들에서 사용될 수 있는 바와 같이, 몰리브데넘, 텅스텐, 또는 다수의 다른 금속들일 수 있다. 그 다음, 기판은 처리 챔버, 예컨대, 위에서 설명된 챔버(200) 내에 놓일 수 있고, 고 종횡비 피쳐 내의 금속을 식각하기 위한 방법(400)이 수행될 수 있다. 예를 들어, 일부 실시예들에서, 물질들은 위에서 논의된 바와 같이 종래의 기술 또는 방법을 활용해서는 충분한 식각을 허용하지 않을 수 있는 더 큰 종횡비들을 특징으로 할 수 있지만, 본 기술에 따른 피쳐들은 구조의 임의의 종횡비들 또는 높이 대 폭 비율을 특징으로 할 수 있다. 예를 들어, 일부 실시예들에서, 예시적인 구조, 예컨대, 비제한적인 예로서의 메모리 홀의 종횡비는, 약 10:1 이상, 약 20:1 이상, 약 30:1 이상, 약 40:1 이상, 약 50:1 이상, 약 100:1 이상, 또는 그 초과일 수 있다.
방법(400)은 금속(540)의 부분들을 식각하거나 다른 방식으로 제거하기 위해 수행될 수 있고, 이는 예시된 바와 같이 금속을 구조의 함몰된 부분들로 분리할 수 있다. 방법은, 구조를 통한 프로파일의 제어를 용이하게 하고, 식각 특징들, 예컨대, 구조의 함몰된 섹션들 내의 금속의 표면 평활도를 개선하기 위해 수행될 수 있다. 예를 들어, 방법(400)은, 작동(405)에서, 기판이 유지되는 챔버의 처리 영역 내로 플루오린 함유 전구체 및 2차 가스, 예컨대, 보호 가스를 유동시키는 단계를 포함할 수 있다. 작동(410)에서, 플루오린 함유 전구체 및 2차 가스는 기판과 접촉할 수 있고, 작동(415)에서, 고 종횡비 구조 내의 금속을 식각할 수 있다. 도 5b에 예시된 바와 같이, 금속(540)은 메모리 홀의 측벽들을 따라서뿐만 아니라 구조에 걸친 최상부 표면을 따라서 트렌치 내에 함몰될 수 있다. 종래의 기술들은, 위에서 논의된 바와 같이 구조의 최상부에서 더 많은 물질이 식각되는 V 형상 프로파일과 유사할 수 있는 최상부-대-바닥 로딩을 생성할 수 있지만, 본 기술은 실질적으로 또는 본질적으로 직선인 프로파일뿐만 아니라, 역전된 V 형상 프로파일을 제공할 수 있으며, 여기서, 구조 내로 더 먼 물질은 구조의 최상부에서의 물질보다 더 많이 식각될 수 있고, 이는 다양한 최상부-대-바닥 로딩 값들의 형성을 허용할 수 있다.
이러한 제어를 제공하기 위해, 본 기술은 구조의 최상부에서의 식각 또는 식각 속도들을 제한하거나 감소시키는 데 도움이 될 수 있는 2차 가스를 활용할 수 있다. 예를 들어, 플루오린 함유 전구체 및 2차 가스는, 피쳐 내의 금속과 접촉하기 전에, 메모리 홀이 형성되는 외부 최상부 표면을 따른 금속(540)에 접근할 수 있다. 보호 가스일 수 있는 2차 가스가 없다면, 식각은, 식각이 구조의 바닥에 더 가까이에서 시작할 수 있기 한참 전에 구조의 최상부에서 시작할 수 있다. 그러나, 2차 가스를 통합함으로써, 2차 가스 분자들은 금속(540)을 따른 표면 영역 또는 부위들을 점유할 수 있고, 이는 식각 속도들을 감소시킬 수 있다. 예를 들어, 플루오린이 불연속 위치들에서 금속과 계속 결합될 수 있지만, 이러한 위치들은 2차 가스에 의해 적어도 부분적으로 차단될 수 있다. 예시적인 금속들, 예컨대, 몰리브데넘 또는 텅스텐이 플루오린에 대해 1 대 1 제거 특징들을 갖지 않을 수 있고, 대신에, 3개, 4개, 또는 6개의 플루오린 원자들이, 금속 원자들의 제거 전에 혼입될 수 있다. 이에 따라, 보호 가스를 활용함으로써, 플루오린과 금속 사이의 이러한 상호작용들이 제어, 감소, 또는 제한될 수 있고, 이는 식각 속도의 제어를 용이하게 할 수 있다.
그러나, 2차 가스의 유량 비율이 플루오린 함유 전구체에 비해 증가함에 따라, 식각 속도가 계속 감소할 수 있고, 결국 2차 가스 분자들은 각각의 위치에서 식각 프로세스를 중단시킬 것이고, 추가의 식각을 방지한다. 이에 따라, 일부 실시예들에서, 플루오린 함유 전구체 대 2차 가스의 유량 비율은 약 1:1 이상으로 유지될 수 있고, 이는 구조의 최상부에서 진행되는 식각의 양을 보장할 수 있다. 예를 들어, 플루오린 함유 전구체 대 2차 가스의 유량 비율은 약 1.2:1 이상으로 유지될 수 있고, 약 1.4:1 이상, 약 1.6:1 이상, 약 1.8:1 이상, 약 2.0:1 이상, 약 2.2:1 이상, 약 2.4:1 이상, 약 2.6:1 이상, 약 2.8:1 이상, 약 3.0:1 이상, 약 4.0:1 이상, 약 5.0:1 이상, 약 6.0:1 이상, 약 7.0:1 이상, 약 8.0:1 이상, 약 9.0:1 이상, 약 10.0:1 이상, 또는 그 초과로 유지될 수 있다. 추가적으로, 제1 유량 비율이 사용될 수 있고, 식각 프로세스가 진행될 때, 제1 유량 비율과 상이한 제2 유량 비율로 식각 프로세스가 진행됨에 따라 조정될 수 있다. 일부 실시예들에서, 유동 작동들 동안, 언급된 비율들 중 임의의 것, 또는 열거된 범위들 내에 포함되는 임의의 비율이 제1 유량 비율 또는 제2 유량 비율에 대해 사용될 수 있다.
일부 실시예들에서, 플루오린 함유 전구체 및/또는 2차 가스는 기판 상의 금속과 접촉하기 이전에 플라즈마 강화될 수 있다. 플라즈마는 처리 챔버의 원격 영역에 형성될 수 있거나, 국부적으로 형성될 수 있다. 기판 수준 플라즈마가 생성될 수 있지만, 일부 실시예들에서, 플라즈마는, 노출된 기판 물질들을 기판 수준 플라즈마로 인해 발생할 수 있는 이온 충격으로부터 보호할 수 있는 원격 플라즈마일 수 있다. 플라즈마 강화이든 아니든 간에, 물질들은 구조의 최상부에서 금속(540)과 접촉할 수 있고, 그 다음, 구조를 통해 메모리 홀들 내로 유동할 수 있다. 식각 프로세스는, 금속이, 메모리 홀의 방향에 수직으로 그리고 측방향으로 연장되는 리세스들을 향해 제거될 때까지 계속될 수 있다. 금속을 각각의 리세스 내로 더 함몰시키고 메모리 홀을 통해 셀들을 분리하기 위해 프로세스가 계속될 수 있지만, 일부 실시예들에서, 방법(400)은, 셀들을 완전히 분리하고 리세스들 내에서 측방향으로 식각하기 위한 2차 식각 프로세스를 포함할 수 있다. 메모리 홀들이 깊이가 수 마이크로미터까지 연장될 수 있기 때문에, 식각제들은 구조 내로 더 깊게, 그리고 함몰된 피쳐들 내로 측방향으로 유동하는 에너지를 잃을 수 있으며, 이는, 식각을 더 느리게 할 수 있고, 잠재적으로, 수 개의 측들 상에 노출된 유전체 물질들의 노출된 표면들에 대한 선택도를 낮출 수 있다. 이에 따라, 제2 작동에서, 식각제는 제2 함몰 작동을 수행하도록 조정될 수 있다.
예를 들어, 처리 영역은 제1 식각 작동에 후속하여 퍼징될 수 있거나 퍼징되지 않을 수 있다. 그 다음, 선택적 작동(420)에서, 플라즈마가 산소 함유 전구체로 형성될 수 있고, 기판으로 유동될 수 있다. 다시, 플라즈마는 처리 챔버의 원격 부분에 형성될 수 있거나, 기판 수준에 국부적으로 형성될 수 있다. 선택적 작동(425)에서, 제1 식각 프로세스에서 이전에 개방된 노출된 금속이, 산소 함유 전구체의 플라즈마 유출물들과 접촉될 수 있다. 금속이 산화된 후에, 선택적 작동(430)에서, 제2 플루오린 함유 전구체가 처리 챔버 내로 유동될 수 있다. 일부 실시예들에서, 플라즈마는 제2 플루오린 함유 전구체의 전달 전에 소멸될 수 있고, 처리 챔버는 제2 플루오린 함유 전구체의 전달 동안 플라즈마가 없는 상태로 유지될 수 있다. 제2 플루오린 함유 전구체는 제1 플루오린 함유 전구체와 동일하거나 상이할 수 있지만, 일부 실시예들에서, 제2 전구체는 프로세스 조건들 하에서 플루오린을 더 용이하게 공여할 수 있다. 제2 플루오린 함유 전구체는 산화된 물질과 접촉할 수 있고, 선택적 작동(435)에서, 산화된 금속을 제거할 수 있다. 도 5c에 도시된 바와 같이, 금속(540)은 셀들 사이에서 완전히 분리될 수 있고, 수직 메모리 홀을 따른 접근 위치들 내에 함몰될 수 있다.
일부 실시예들에서, 잔류 플루오린은 제1 식각 프로세스 및/또는 제2 식각 프로세스 후에 나머지 금속에 혼입될 수 있다. 이에 따라, 일부 실시예들에서, 선택적 작동(440)에서 사후 처리 작동이 수행될 수 있고, 이는 제1 식각 프로세스에 후속하여, 예컨대, 작동(415) 이후에, 제2 식각 프로세스에 후속하여, 예컨대, 작동(440)에서, 또는 각각의 식각 프로세스 이후에 발생할 수 있다. 예를 들어, 염소 함유 전구체, 예컨대, 삼염화붕소가 처리 챔버 내로 유동될 수 있다. 실시예들에서, 염소 함유 전구체는 플라즈마 강화될 수 있거나 플라즈마 강화되지 않을 수 있고, 플라즈마 강화되는 경우, 플라즈마는 처리 챔버 내에서 인-시튜로 또는 원격으로 생성될 수 있다. 본 기술의 일부 실시예들에서, 염소 함유 전구체는 기판과 접촉할 수 있고, 임의의 잔류 플루오린을 스캐빈징하기 위해, 남아있는 금속의 표면과 상호작용할 수 있다.
일부 실시예들에서, 예시적인 플루오린 함유 전구체들은 플루오린 또는 염소 중 하나 이상뿐만 아니라, 임의의 다른 할로겐을 포함할 수 있다. 활용될 수 있는 일부 예시적인 전구체들은, 플루오린화수소, 삼플루오린화질소, 또는 임의의 유기플루오라이드, 이원자 플루오린, 삼플루오린화브로민, 삼플루오린화염소, 육플루오린화황, 이플루오린화크세논, 삼염화붕소, 오염화텅스텐, 육염화텅스텐, 또는 임의의 다른 플루오린 함유 전구체를 포함하는 할로겐화물들을 포함할 수 있다. 염소 함유 전구체가 또한, 플루오린 함유 전구체에 포함되거나 플루오린 함유 전구체를 대체할 수 있고, 삼염화붕소, 이원자 염소, 또는 다른 염소 함유 전구체들이 사용될 수 있다. 전구체들은 또한, 다양한 조합들로 함께 유동될 수 있다. 예를 들어, 이전에 언급된 바와 같이, 제2 플루오린 함유 전구체는 제1 플루오린 함유 전구체에 비해 플루오린을 더 용이하게 공여할 수 있다. 전구체들의 하나의 비제한적인 예로서, 제1 플루오린 함유 전구체는 삼플루오린화질소일 수 있거나 이를 포함할 수 있는 한편, 제2 플루오린 함유 전구체는 육플루오린화텅스텐 또는 육플루오린화황일 수 있거나 이를 포함할 수 있다.
전구체들은 또한, 이원자 수소, 또는 수소 함유 전구체, 질소, 아르곤, 헬륨, 또는 임의의 개수의 추가적인 물질들을 포함하는 임의의 개수의 추가적인 전구체들 또는 캐리어 가스들과 함께 유동될 수 있지만, 일부 실시예들에서, 전구체들은 선택도에 영향을 미칠 수 있는 부반응들 또는 다른 양상들을 제어하도록 제한될 수 있다. 식각 프로세스 동안 제공되는 2차 가스는 산소 함유 전구체들 및/또는 질소 함유 전구체들을 포함할 수 있다. 예를 들어, 비제한적인 산소 함유 전구체들은, 이원자 산소, 오존, 물, 알콜, 과산화수소, 아산화질소, 산화질소, 또는 임의의 다른 산소 함유 물질을 포함할 수 있다. 비제한적인 질소 함유 전구체들은, 예를 들어, 이원자 질소, 또는 질소를 또한 포함하는 임의의 산소 함유 전구체를 포함할 수 있다.
프로세스 조건들은 또한, 방법(400)에서 수행되는 작동들에 영향을 줄 수 있다. 방법(400)의 작동들 각각은, 실시예들에서 일정한 온도 동안 수행될 수 있는 반면, 일부 실시예들에서는 상이한 작동들 동안 온도가 조정될 수 있다. 예를 들어, 방법(400) 동안 기판, 페디스털, 또는 챔버 온도는 약 150 ℃ 이상, 약 200 ℃ 이상, 약 250 ℃ 이상, 약 300 ℃ 이상, 약 350 ℃ 이상, 약 400 ℃ 이상, 약 450 ℃ 이상, 약 500 ℃ 이상, 또는 그 초과로 유지될 수 있다. 그러나, 더 높은 온도들에서, 플루오린 함유 물질들의 추가의 해리가 발생할 수 있고, 이는 더 많은 플루오린 라디칼들을 생성할 수 있다. 플루오린 라디칼들의 양이 증가함에 따라, 보호 가스는 반응을 충분히 제어하지 못할 수 있다. 이에 따라, 일부 실시예들에서, 온도는 약 700 ℃ 이하로 유지될 수 있고, 약 650 ℃ 이하, 약 600 ℃ 이하, 약 550 ℃ 이하, 약 500 ℃ 이하, 또는 그 미만으로 유지될 수 있다.
일부 실시예들에서, 프로세스는 다양한 압력들에서 발생할 수 있고, 이는 다수의 프로세스 챔버들 중 임의의 챔버에서의 작동들을 용이하게 할 수 있다. 예를 들어, 프로세스는, 약 1 Torr 이상으로 유지될 수 있는 압력들을 제공할 수 있는 챔버들 내에서 수행될 수 있고, 약 2 Torr 이상, 약 5 Torr 이상, 약 10 Torr 이상, 약 50 Torr 이상, 약 100 Torr 이상, 약 200 Torr 이상, 또는 그 초과로 유지될 수 있다. 약 1 Torr 이상의 압력들을 활용함으로써, 고 종횡비 구조들을 통한 식각제들의 전달이 용이해질 수 있다. 플라즈마가 위에서 언급된 바와 같이 하나 이상의 작동에서 활용될 수 있지만, 일부 실시예들에서, 플라즈마는 사용되지 않을 수 있고, 전체 방법은 반도체 처리 챔버 내에서 플라즈마가 없는 환경을 유지하면서 수행될 수 있다. 작동들 중 하나 이상의 작동 동안 플라즈마 유출물들이 활용될 때, 플라즈마 전력은 약 500 W 미만으로 유지될 수 있다. 더 낮은 플라즈마 전력을 유지함으로써, 스퍼터링이 제어될 수 있고, 상호작용들은 더 제어된 화학 반응들로 제한될 수 있으며, 이는, 예컨대, 구조의 최상부에 더 가까이에서의 금속을 통한 식각의 정도를 더 양호하게 제한할 수 있다. 이는, 예를 들어, 메모리 홀을 따른 프로파일의 제어를 더 용이하게 할 수 있다. 결과적으로, 일부 실시예들에서, 플라즈마 전력은 약 450 W 이하, 약 400 W 이하, 약 350 W 이하, 약 300 W 이하, 약 250 W 이하, 약 200 W 이하, 약 150 W 이하, 약 100 W 이하, 또는 그 미만으로 유지될 수 있다.
본 기술 전반에 걸쳐 논의된 바와 같이 전구체들 및 처리를 활용함으로써, 3D NAND 및 다른 반도체 구조들에 사용되는 금속은, 산화규소의 손상 또는 제거를 제한하고 개선된 프로파일 또는 최상부-대-바닥 로딩 값을 유지하면서, 유전체 물질, 예컨대, 산화규소의 섹션들 사이로부터 더 균일하게 식각될 수 있다. 예를 들어, 본 기술의 일부 실시예들에서, 제1 식각 프로세스 또는 제2 식각 프로세스 후에, 최상부-대-바닥 로딩 값은 약 2:1 이하로 유지될 수 있고, 약 1.8:1 이하, 약 1.6:1 이하, 약 1.5:1 이하, 약 1.4:1 이하, 약 1.3:1 이하, 약 1.2:1 이하, 약 1.1:1 이하, 또는 약 1.0:1로 유지될 수 있으며, 이는, 구조의 최상부에 더 가까운 위치들뿐만 아니라 구조의 바닥에 더 가까운 위치들에서의 동등한 식각을 나타낸다. "약"이라는 용어를 사용함으로써, 본 개시내용은, 일반적으로 식별된 조건들이 이해되더라도, 측정에서 완벽한 정밀도를 제공하지 않을 수 있는, 본 기술 전반에 걸쳐 논의된 폼 팩터들에서의 측정의 한계들을 포괄하도록 의도된다. 추가적으로, 일부 실시예들에서, 식각 프로세스들은, 최상부-대-바닥 로딩 값이 약 0.9:1 이하로 유지될 수 있고, 약 0.8:1 이하, 약 0.7:1 이하, 약 0.6:1 이하, 약 0.5:1 이하, 또는 그 미만으로 유지될 수 있는, V 형상 프로파일 또는 반전된 V 형상 프로파일을 생성하기 위해, 위에서 언급된 바와 같이 더 조정될 수 있다.
앞의 설명에서, 설명의 목적들을 위해, 본 기술의 다양한 실시예들의 이해를 제공하기 위해 다수의 세부사항들이 열거되었다. 그러나, 특정 실시예들은 이러한 세부사항들 중 일부가 없이, 또는 추가적인 세부사항들과 함께 실시될 수 있다는 것이 관련 기술분야의 통상의 기술자에게 자명할 것이다.
몇몇 실시예들을 개시하였지만, 실시예들의 사상으로부터 벗어나지 않고 다양한 수정들, 대안적인 구성들, 및 등가물들이 사용될 수 있음을 관련 기술분야의 통상의 기술자들이 인식할 것이다. 추가적으로, 본 기술을 불필요하게 모호하게 하는 것을 회피하기 위해, 다수의 잘 알려진 프로세스들 및 요소들은 설명되지 않았다. 이에 따라, 위의 설명은 본 기술의 범위를 제한하는 것으로 간주되어서는 안 된다. 추가적으로, 방법들 또는 프로세스들은 순차적이거나 단계들로서 설명될 수 있지만, 작동들은 동시에 또는 나열된 것과 상이한 순서들로 수행될 수 있다는 것을 이해해야 한다.
값들의 범위가 제공되는 경우, 맥락이 명백하게 다르게 지시하지 않는 한, 그 범위의 상한과 하한 사이에서 하한의 단위의 최소 분율(smallest fraction)까지, 각각의 중간 값이 또한 구체적으로 개시된다는 점을 이해해야 한다. 언급된 범위의 임의의 언급된 값들 또는 언급되지 않은 중간 값들과, 그 언급된 범위의 임의의 다른 언급된 값 또는 중간 값 사이의 임의의 더 좁은 범위가 포함된다. 그러한 더 작은 범위들의 상한 및 하한은 그 범위에 독립적으로 포함되거나 제외될 수 있고, 더 작은 범위들에 그 한계치들 중 어느 한쪽 또는 양쪽 모두가 포함되는, 또는 양쪽 모두가 포함되지 않는 각각의 범위는 또한, 언급된 범위의 임의의 구체적으로 제외된 한계치를 조건으로 하여 본 기술 내에 포함된다. 언급된 범위가 한계치들 중 어느 한쪽 또는 양쪽 모두를 포함하는 경우, 그러한 포함된 한계치들 중 어느 한쪽 또는 양쪽 모두를 제외한 범위들이 또한 포함된다.
본원 및 첨부된 청구항들에서 사용된 바와 같이, 단수 형태는 맥락이 명백하게 다르게 지시하지 않는 한, 복수 지시대상을 포함한다. 따라서, 예를 들어, "전구체"에 대한 언급은 복수의 그러한 전구체들을 포함하고, "층"에 대한 언급은, 하나 이상의 층 및 관련 기술분야의 통상의 기술자에게 알려진 그의 등가물들에 대한 언급을 포함하는 것 등이다.
또한, "포함"이라는 단어는, 본 명세서 및 이하의 청구항들에서 사용될 때, 언급된 특징들, 정수들, 구성요소들, 또는 작동들의 존재를 명시하도록 의도되지만, 이는 하나 이상의 다른 특징들, 정수들, 구성요소들, 작동들, 작용들, 또는 군들의 추가 또는 존재를 배제하지 않는다.

Claims (20)

  1. 식각 방법으로서,
    플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계 - 상기 2차 가스는 산소 또는 질소를 포함하고, 상기 플루오린 함유 전구체 대 상기 2차 가스의 유량 비율은 약 1:1 이상임 -;
    기판을 상기 플루오린 함유 전구체 및 상기 2차 가스와 접촉시키는 단계 - 상기 기판은 노출된 금속을 포함하고, 상기 기판은 고 종횡비 구조를 한정함 -; 및
    상기 고 종횡비 구조체 내의 상기 노출된 금속을 식각하는 단계
    를 포함하는, 식각 방법.
  2. 제1항에 있어서,
    상기 플루오린 함유 전구체 및 상기 2차 가스의 플라즈마를 형성하는 단계를 더 포함하는, 식각 방법.
  3. 제1항에 있어서,
    상기 처리 영역 내의 온도는 약 500 ℃ 이하로 유지되는, 식각 방법.
  4. 제1항에 있어서,
    상기 고 종횡비 구조는 3D NAND 구조의 메모리 홀을 포함하고, 상기 노출된 금속은 상기 메모리 홀에 수직으로 형성된 리세스들 내로 측방향으로 연장되는, 식각 방법.
  5. 제1항에 있어서,
    상기 노출된 금속을 식각하는 단계에 후속하여, 상기 반도체 처리 챔버의 처리 영역을 퍼징하는 단계;
    산소 함유 전구체의 플라즈마를 형성하는 단계; 및
    산화된 금속을 생성하기 위해, 상기 노출된 금속을 상기 산소 함유 전구체의 플라즈마 유출물들과 접촉시키는 단계를 더 포함하는, 식각 방법.
  6. 제5항에 있어서,
    상기 플루오린 함유 전구체는 제1 플루오린 함유 전구체이고, 상기 방법은:
    제2 플루오린 함유 전구체를 처리 영역 내로 유동시키는 단계; 및
    상기 산화된 금속을 상기 제2 플루오린 함유 전구체와 접촉시키는 단계를 더 포함하는, 식각 방법.
  7. 제6항에 있어서,
    상기 산화된 금속을 상기 제2 플루오린 함유 전구체와 접촉시키는 동안 상기 처리 영역은 플라즈마가 없는 상태로 유지되는, 식각 방법.
  8. 제1항에 있어서,
    상기 방법은, 약 1 Torr 내지 약 50 Torr의 챔버 작동 압력에서 수행되는, 식각 방법.
  9. 제1항에 있어서,
    상기 노출된 금속을 식각하는 단계에 후속하여, 염소 함유 전구체를 상기 처리 영역 내로 유동시키는 단계 - 상기 염소 함유 전구체는 잔류 플루오린을 스캐빈징함 - 를 더 포함하는, 식각 방법.
  10. 제1항에 있어서,
    상기 고 종횡비 구조 내의 상기 노출된 금속을 식각한 후의 최상부-대-바닥 로딩 값은 약 1.5 이하인, 식각 방법.
  11. 식각 방법으로서,
    제1 플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계;
    기판을 상기 제1 플루오린 함유 전구체 및 상기 2차 가스와 접촉시키는 단계 - 상기 기판은 노출된 금속을 포함하고, 상기 기판은 3D NAND 구조에 메모리 홀을 한정하고, 상기 노출된 금속은 상기 메모리 홀에 수직으로 형성된 리세스들 내로 측방향으로 연장됨 -;
    상기 메모리 홀 내의 상기 노출된 금속을 식각하는 단계;
    산소 함유 전구체의 플라즈마를 형성하는 단계;
    산화된 금속을 생성하기 위해, 상기 노출된 금속을 상기 산소 함유 전구체의 플라즈마 유출물들과 접촉시키는 단계;
    제2 플루오린 함유 전구체를 상기 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계; 및
    상기 산화된 금속을 제거하는 단계
    를 포함하는, 식각 방법.
  12. 제11항에 있어서,
    상기 2차 가스는 산소 또는 질소를 포함하는, 식각 방법.
  13. 제11항에 있어서,
    상기 제1 플루오린 함유 전구체 대 상기 2차 가스의 유량 비율은 약 1:1 이상인, 식각 방법.
  14. 제11항에 있어서,
    상기 제1 플루오린 함유 전구체 및 상기 2차 가스의 플라즈마를 형성하는 단계를 더 포함하는, 식각 방법.
  15. 제11항에 있어서,
    상기 반도체 처리 챔버 내의 온도는 약 200 ℃ 내지 약 500 ℃로 유지되는, 식각 방법.
  16. 제11항에 있어서,
    상기 반도체 처리 챔버 내의 압력은 약 1 Torr 내지 약 50 Torr로 유지되는, 식각 방법.
  17. 제11항에 있어서,
    제2 플루오린 함유 전구체를 상기 반도체 처리 챔버의 상기 처리 영역 내로 유동시키는 동안 상기 처리 영역은 플라즈마가 없는 상태로 유지되는, 식각 방법.
  18. 제11항에 있어서,
    상기 노출된 금속을 식각하는 단계에 후속하여, 염소 함유 전구체를 상기 처리 영역 내로 유동시키는 단계를 더 포함하는, 식각 방법.
  19. 제16항에 있어서,
    상기 염소 함유 전구체는 상기 노출된 금속으로부터 잔류 플루오린을 스캐빈징하는, 식각 방법.
  20. 식각 방법으로서,
    제1 플루오린 함유 전구체 및 2차 가스를 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계 - 상기 2차 가스는 산소 또는 질소를 포함함 -;
    기판을 상기 제1 플루오린 함유 전구체 및 상기 2차 가스와 접촉시키는 단계 - 상기 기판은 노출된 금속을 포함하고, 상기 기판은 고 종횡비 구조를 한정함 -;
    상기 고 종횡비 구조체 내의 상기 노출된 금속을 식각하는 단계;
    산화된 금속을 생성하기 위해, 상기 노출된 금속을 산소 함유 전구체와 접촉시키는 단계;
    제2 플루오린 함유 전구체를 상기 반도체 처리 챔버의 처리 영역 내로 유동시키는 단계; 및
    상기 산화된 금속을 제거하는 단계
    를 포함하고, 상기 방법은 약 500 ℃ 이하의 챔버 작동 온도로 수행되는, 식각 방법.
KR1020237041399A 2021-05-04 2022-04-08 고 종횡비 피쳐들에서의 금속 식각 KR20240003446A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/307,636 US11631589B2 (en) 2021-05-04 2021-05-04 Metal etch in high aspect-ratio features
US17/307,636 2021-05-04
PCT/US2022/024040 WO2022235378A1 (en) 2021-05-04 2022-04-08 Metal etch in high aspect-ratio features

Publications (1)

Publication Number Publication Date
KR20240003446A true KR20240003446A (ko) 2024-01-09

Family

ID=83901636

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237041399A KR20240003446A (ko) 2021-05-04 2022-04-08 고 종횡비 피쳐들에서의 금속 식각

Country Status (5)

Country Link
US (1) US11631589B2 (ko)
KR (1) KR20240003446A (ko)
CN (1) CN117916865A (ko)
TW (1) TWI817471B (ko)
WO (1) WO2022235378A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989929A (en) * 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10497579B2 (en) * 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10854426B2 (en) * 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10755941B2 (en) * 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11121002B2 (en) * 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
TW202117802A (zh) 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Also Published As

Publication number Publication date
CN117916865A (zh) 2024-04-19
TWI817471B (zh) 2023-10-01
US20220359214A1 (en) 2022-11-10
TW202301460A (zh) 2023-01-01
WO2022235378A1 (en) 2022-11-10
US11631589B2 (en) 2023-04-18

Similar Documents

Publication Publication Date Title
US10319603B2 (en) Selective SiN lateral recess
KR102655277B1 (ko) 개선된 게르마늄 식각 시스템들 및 방법들
KR102313219B1 (ko) 고종횡비 구조들을 위한 제거 방법들
US10854426B2 (en) Metal recess for semiconductor structures
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US10283324B1 (en) Oxygen treatment for nitride etching
KR20240003446A (ko) 고 종횡비 피쳐들에서의 금속 식각
US20210111033A1 (en) Isotropic silicon nitride removal
US10128086B1 (en) Silicon pretreatment for nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
KR20240027850A (ko) 금속 산화물 방향성 제거
US11328909B2 (en) Chamber conditioning and removal processes
KR20240021285A (ko) 고 종횡비 피쳐들에서의 금속 증착 및 식각
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
US20240120210A1 (en) Isotropic silicon nitride removal
JP2024519207A (ja) 高アスペクト比特徴における金属エッチング
US20220165580A1 (en) Systems and methods for tungsten-containing film removal
KR20240060754A (ko) 개선된 게르마늄 식각 시스템들 및 방법들
KR20240029780A (ko) 전이 금속 질화물 물질들의 선택적 제거