KR20240027850A - 금속 산화물 방향성 제거 - Google Patents

금속 산화물 방향성 제거 Download PDF

Info

Publication number
KR20240027850A
KR20240027850A KR1020247005317A KR20247005317A KR20240027850A KR 20240027850 A KR20240027850 A KR 20240027850A KR 1020247005317 A KR1020247005317 A KR 1020247005317A KR 20247005317 A KR20247005317 A KR 20247005317A KR 20240027850 A KR20240027850 A KR 20240027850A
Authority
KR
South Korea
Prior art keywords
plasma
metal
containing precursor
precursor
metal oxide
Prior art date
Application number
KR1020247005317A
Other languages
English (en)
Inventor
바이웨이 왕
로한 풀리고루 레디
샤오린 씨. 첸
젠지앙 쿠이
안추안 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240027850A publication Critical patent/KR20240027850A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

예시적인 식각 방법들은, 금속 산화물의 변형된 부분을 생성하기 위해, 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 산화물 층의 노출된 표면을 변형시키는 단계를 포함할 수 있다. 방법들은, 금속 산화물의 변형된 부분을 플루오린 함유 전구체와 접촉시키는 단계를 포함할 수 있다. 접촉은 금속 옥시플루오라이드 물질을 생성할 수 있다. 방법들은 식각제 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은 금속 옥시플루오라이드 물질을 식각제 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 금속 옥시플루오라이드 물질을 제거하는 단계를 포함할 수 있다.

Description

금속 산화물 방향성 제거
본 출원은 2021년 7월 15일자로 출원된 미국 출원 번호 17/376,337에 대해 우선권을 주장한다. 상기 출원의 개시내용은 모든 목적들을 위해 그 전체가 참조로 본원에 포함된다.
본 기술은 반도체 프로세스들 및 장비에 관한 것이다. 더 구체적으로, 본 기술은 금속 함유 구조들을 방향성 식각하는 것에 관한 것이다.
집적 회로들은, 복잡하게 패터닝된 물질 층들을 기판 표면들 상에 생성하는 프로세스들에 의해 가능해진다. 패터닝된 물질을 기판 상에 생성하는 것은, 노출된 물질의 제거를 위한 제어된 방법들을 필요로 한다. 포토레지스트의 패턴을 하부 층들 내에 전사하는 것, 층들을 박형화하는 것, 또는 표면 상에 이미 존재하는 피쳐들의 측방향 치수들을 박형화하는 것을 포함하는 다양한 목적들을 위해 화학적 식각이 사용된다. 종종, 하나의 물질을 다른 물질보다 더 빠르게 식각하여, 예를 들어, 패턴 전사 프로세스를 용이하게 하는 식각 프로세스를 갖는 것이 바람직하다. 그러한 식각 프로세스를 제1 물질에 대해 선택적이라고 한다. 물질들, 회로들, 및 프로세스들의 다양성의 결과로서, 다양한 물질들에 대하여 선택도를 갖는 식각 프로세스들이 개발되었다.
식각 프로세스들은 프로세스에 사용되는 물질들에 기초하여 습식 또는 건식으로 칭해질 수 있다. 예를 들어, 습식 식각은 다른 유전체들 및 물질들에 비해 일부 산화물 유전체들을 우선적으로 제거할 수 있다. 그러나, 습식 프로세스들은 일부 제약된 트렌치들에 침투하는데 어려움을 가질 수 있고, 또한, 때로는 나머지 물질을 변형시킬 수 있다. 기판 처리 영역 내에 형성된 국부 플라즈마들에서 야기되는 건식 식각들은, 더 제약된 트렌치들을 침투할 수 있고 섬세한 나머지 구조들의 더 적은 변형을 보여줄 수 있다. 그러나, 국부 플라즈마들은 방전 시에 전기 아크들의 생성을 통해 기판을 손상시킬 수 있다.
따라서, 고품질 디바이스들 및 구조들을 생성하기 위해 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이러한 그리고 다른 필요들이 본 기술에 의해 해결된다.
예시적인 식각 방법들은, 금속 산화물의 변형된 부분을 생성하기 위해, 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 산화물 층의 노출된 표면을 변형시키는 단계를 포함할 수 있다. 방법들은, 금속 산화물의 변형된 부분을 플루오린 함유 전구체와 접촉시키는 단계를 포함할 수 있다. 접촉은 금속 옥시플루오라이드 물질을 생성할 수 있다. 방법들은 식각제 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은 금속 옥시플루오라이드 물질을 식각제 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 금속 옥시플루오라이드 물질을 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 식각제 전구체는 염소 함유 전구체이거나 염소 함유 전구체를 포함할 수 있다. 금속 산화물은 산화하프늄이거나 산화하프늄을 포함할 수 있다. 플루오린 함유 전구체는 플루오린화수소 또는 플라즈마 강화 플루오린 함유 전구체이거나 이를 포함할 수 있다. 플라즈마 강화 플루오린 함유 전구체는 반도체 처리 챔버의 원격 플라즈마 영역에서 형성될 수 있다. 금속 산화물 층의 노출된 표면을 변형시키는 단계는 산소 함유 플라즈마 유출물들을 생성하기 위해 산소 함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 금속 산화물 층의 노출된 표면을 변형시키는 단계는 산소 함유 플라즈마 유출물들을 금속 산화물 층의 노출된 표면으로 지향시키는 단계를 포함할 수 있다. 플라즈마 유출물들은 금속 산화물의 일부가 비정질 금속 산화물이 되게 할 수 있다. 산소 함유 전구체의 플라즈마는 약 100 W 이상의 플라즈마 전력으로 처리 영역에서 형성될 수 있다. 반도체 처리 챔버는, 처리 영역 내로 식각제 전구체를 유동시키는 동안 플라즈마 없이 유지될 수 있다. 금속 옥시플루오라이드 물질을 식각제 전구체와 접촉시키기 전에 반도체 처리 챔버 내의 온도가 증가될 수 있다. 반도체 처리 챔버에서의 압력은 플루오린 함유 전구체를 유동시키는 동안 약 5 Torr 이하로 유지될 수 있다. 반도체 처리 챔버에서의 압력은 식각제 전구체를 처리 영역 내로 유동시키는 동안 약 15 Torr 이상으로 유지될 수 있다.
본 기술의 일부 실시예들은 식각 방법들을 포함할 수 있다. 방법들은, 금속 함유 물질의 변형된 부분을 생성하기 위해, 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 함유 물질 층의 노출된 표면을 변형시키는 단계를 포함할 수 있다. 방법들은, 플라즈마 유출물들을 생성하기 위해 플라즈마를 타격하면서 제1 할로겐 함유 전구체를 반도체 처리 챔버의 원격 플라즈마 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은, 금속 함유 물질의 변형된 부분을 플라즈마 유출물들과 접촉시키는 단계를 포함할 수 있다. 접촉은 금속 플루오린화물 물질을 생성할 수 있다. 방법들은 제2 할로겐 함유 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은 금속 플루오린화물 물질을 제2 할로겐 함유 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 금속 플루오린화물 물질을 제거하는 단계를 포함할 수 있다.
일부 실시예들에서, 제1 할로겐 함유 전구체는 플루오린일 수 있거나 플루오린을 포함할 수 있다. 제2 할로겐 함유 전구체는 삼염화붕소이거나 이를 포함할 수 있고, 금속 함유 물질은 알루미늄, 하프늄, 지르코늄, 또는 티타늄을 포함하는 산화물 또는 질화물이거나 이를 포함할 수 있다. 방법들은 제2 할로겐 함유 전구체를 유동시키기 전에 플라즈마 형성을 중단하는 단계를 포함할 수 있다. 금속 함유 물질의 변형된 부분을 플라즈마 유출물들과 접촉시키는 단계는 제1 온도에서 수행될 수 있다. 금속 플루오린화물 물질을 제2 할로겐 함유 전구체와 접촉시키는 단계는 제1 온도 초과의 제2 온도에서 수행될 수 있다. 제1 할로겐 함유 전구체는 삼플루오린화질소일 수 있거나 삼플루오린화질소를 포함할 수 있다. 방법들은 제1 할로겐 함유 전구체와 함께 수소를 유동시키는 단계를 포함할 수 있다. 수소의 유량은 제1 할로겐 함유 전구체의 유량의 적어도 2배일 수 있다. 금속 함유 물질 층의 노출된 표면을 변형시키는 단계는 산소 함유 플라즈마 유출물들을 생성하기 위해 산소 함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 금속 함유 물질 층의 노출된 표면을 변형시키는 단계는, 플라즈마 유출물들을 금속 함유 물질 층의 노출된 표면으로 지향시키는 단계를 포함할 수 있다.
본 기술의 일부 실시예들은 식각 방법들을 포함할 수 있다. 방법들은 산소 함유 플라즈마 유출물들을 생성하기 위해 산소 함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 방법들은, 금속 산화물의 변형된 부분을 생성하기 위해, 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 산화물 층에 산소 함유 플라즈마 유출물들을 지향시키는 단계를 포함할 수 있다. 방법들은, 플라즈마 유출물들을 생성하기 위해 원격 플라즈마 영역 내의 플라즈마를 타격하면서 플루오린 함유 전구체를 반도체 처리 챔버의 원격 플라즈마 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은, 금속 산화물의 변형된 부분을 플라즈마 유출물들과 접촉시키는 단계를 포함할 수 있다. 접촉은 금속 옥시플루오라이드 물질을 생성할 수 있다. 방법들은 염소 함유 전구체를 처리 영역 내로 유동시키는 단계를 포함할 수 있다. 방법들은 금속 옥시플루오라이드 물질을 염소 함유 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 금속 옥시플루오라이드 물질을 제거하는 단계를 포함할 수 있다.
그러한 기술은 종래의 시스템들 및 기법들에 비해 많은 이익들을 제공할 수 있다. 예를 들어, 프로세스들은 기판의 피쳐들을 보호할 수 있는 방향성 건식 식각이 수행되는 것을 허용할 수 있다. 추가적으로, 프로세스들은 기판 상의 다른 노출된 물질들에 비해 금속 함유 막들의 식각 속도를 증가시킬 수 있다. 이러한 실시예들 및 다른 실시예들은, 자신들의 많은 장점들 및 특징들과 함께, 이하의 설명 및 첨부 도면들과 함께 더 상세히 설명된다.
개시된 기술의 속성 및 장점들의 추가적인 이해는, 본 명세서의 나머지 부분들 및 도면들을 참조하여 실현될 수 있다.
도 1은 본 기술의 일부 실시예들에 따른 예시적인 처리 시스템의 일 실시예의 상면도를 도시한다.
도 2a는 본 기술의 일부 실시예들에 따른 예시적인 처리 챔버의 개략적인 단면도를 도시한다.
도 2b는, 본 기술의 일부 실시예들에 따른, 도 2a에 예시된 처리 챔버의 부분의 상세도를 도시한다.
도 3은 본 기술의 일부 실시예들에 따른 예시적인 샤워헤드의 저면도를 도시한다.
도 4는 본 기술의 일부 실시예들에 따른 방법의 예시적인 작동들을 도시한다.
도 5a-5d는 본 기술의 일부 실시예들에 따라 식각되는 물질들의 개략적인 단면도들을 도시한다.
도면들 중 몇몇은 개략도들로서 포함된다. 도면들은 예시적인 목적들을 위한 것이며, 축척을 고려했다고 구체적으로 언급되지 않는 한, 축척을 고려하지 않은 것임을 이해해야 한다. 추가적으로, 개략도들로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들과 비교하여 모든 양상들 또는 정보를 포함하지 않을 수 있고, 예시의 목적들을 위해 추가적이거나 과장된 성분을 포함할 수 있다.
첨부 도면들에서, 유사한 구성요소들 및/또는 피쳐들은 동일한 참조 라벨을 가질 수 있다. 또한, 동일한 유형의 다양한 구성요소들은, 유사한 구성요소들을 구별하는 문자를 참조 라벨 뒤에 둠으로써 구별될 수 있다. 첫 번째 참조 라벨만이 본 명세서에 사용되는 경우, 본 설명은, 문자에 관계없이, 동일한 첫 번째 참조 라벨을 갖는 유사한 구성요소들 중 임의의 것에 적용가능하다.
상보형 금속 산화물 반도체 물질들에 대한 스케일링이 더 어려워짐에 따라, 이산화규소는 종종 고-k 유전체 물질들로 대체되고 있다. 그러나, 많은 고-k 유전체 물질들, 예컨대, 전이 금속 산화물들 또는 질화물들 또는 다른 금속 산화물들 또는 질화물들은 구현 난제들을 야기할 수 있다. 예를 들어, 증착에 후속하여, 하나 이상의 제거 작동이 수행될 수 있다. 많은 전이 금속 물질들은 다른 유전체들에 비해 더 많은 금속 유사 특성들을 특징으로 할 수 있고, 이는 제거 및 에칭백 작동들이 덜 선택적이게 할 수 있다. 추가적으로, 디바이스 구조들이 점점 더 복잡해짐에 따라, 제거될 물질들이, 후속 처리 동안 유지되어야 할 많은 추가적인 물질들과 노출될 수 있다.
금속 산화물들은 고-k 유전체 물질들로 점점 더 많이 활용되고 있으며, 이는 층 두께를 유지하면서 개선된 트랜지스터 성능을 제공할 수 있다. 금속 산화물이 더 일반적으로 포함됨에 따라, 금속 산화물 막들의 처리는, 증가된 밀도를 특징으로 하는 물질의 층들을 생성하기 시작했으며, 이는 집적 난제들을 야기할 수 있다. 예를 들어, 에칭백 또는 제거 작동들 동안, 더 조밀한 금속 산화물 층들, 예컨대, 처리 동안 또는 증착에 후속하여 이전에 어닐링된 층들은 식각 선택도를 감소시킬 수 있고, 이는 기판 상의 주변 물질들에 대한 손상을 증가시킬 수 있다. 금속 산화물의 종래의 처리는 등방성 식각 프로세스들을 활용할 수 있는데, 이는 주변 물질들에 대한 증가된 노출을 야기할 수 있고, 다른 물질들의 추가의 식각을 야기함으로써 선택도를 감소시킬 수 있다. 본 기술은, 다양한 구조들로부터의 금속 산화물의 제어된 제거를 허용할 수 있고, 제거 동안 노출된 채 마스킹되고/거나 유지될 수 있는 다수의 물질들에 대해 선택적일 수 있는 방향성 제거 프로세스를 제공함으로써, 조밀한 금속 산화물 물질들을 포함하기 위한 능력을 증가시킬 수 있다.
나머지 개시내용은 개시된 기술을 활용하는 특정 물질들 및 반도체 구조들을 일상적으로 식별할 것이지만, 시스템들, 방법들, 및 물질들이, 본 기술의 양상들로부터 이익을 얻을 수 있는 다수의 다른 구조들에 동등하게 적용가능하다는 것을 쉽게 이해할 것이다. 이에 따라, 본 기술은 설명된 프로세스들 또는 물질들과만 사용하기 위한 것으로 제한되는 것으로 간주되어서는 안 된다. 게다가, 예시적인 챔버가 본 기술에 대한 토대를 제공하는 것으로 설명되지만, 본 기술은 설명된 작동들을 허용할 수 있는 사실상 임의의 반도체 처리 챔버에 적용될 수 있다는 것을 이해해야 한다.
도 1은, 실시예들에 따른 증착, 식각, 베이킹, 및 경화 챔버들의 처리 시스템(100)의 일 실시예의 상면도를 도시한다. 도면에서, 전방 개구부 통합 포드들(102)의 쌍이, 다양한 크기들의 기판들을 공급하며, 이 기판들은, 탠덤 섹션들(109a-c)에 위치된 기판 처리 챔버들(108a-f) 중 하나 내에 배치되기 전에, 로봇 암들(104)에 의해 수용되고 저압 유지 영역(106) 내에 배치된다. 제2 로봇 암(110)은, 기판 웨이퍼들을 유지 영역(106)으로부터 기판 처리 챔버들(108a-f)로 그리고 되돌려 운송하는 데 사용될 수 있다. 각각의 기판 처리 챔버(108a-f)는, 주기적 층 증착, 원자 층 증착, 화학 기상 증착, 물리 기상 증착, 식각, 사전 세정, 탈기, 배향, 및 다른 기판 프로세스들에 추가하여, 본원에 설명된 건식 식각 프로세스들을 포함하는 다수의 기판 처리 작동들을 수행하도록 구비될 수 있다.
기판 처리 챔버들(108a-f)은 유전체 막을 기판 웨이퍼 상에 증착, 어닐링, 경화 및/또는 식각하기 위한 하나 이상의 시스템 구성요소를 포함할 수 있다. 일 구성에서, 처리 챔버들의 2개의 쌍들(예를 들어, 108c-d 및 108e-f)은 기판 상에 유전체 물질을 증착시키는 데에 사용될 수 있고, 처리 챔버들의 제3 쌍(예를 들어, 108a-b)은 증착된 유전체를 식각하는 데 사용될 수 있다. 다른 구성에서, 3쌍의 챔버들 전부(예를 들어, 108a-f)가 기판 상의 유전체 막을 식각하도록 구성될 수 있다. 설명되는 프로세스들 중 임의의 하나 이상의 프로세스는 상이한 실시예들에 도시된 제조 시스템으로부터 분리된 챔버(들)에서 수행될 수 있다. 유전체 막들을 위한 증착, 식각, 어닐링, 및 경화 챔버들의 추가적인 구성들이 시스템(100)에 의해 고려될 수 있음을 이해할 것이다.
도 2a는 구획된 플라즈마 생성 영역들을 처리 챔버 내에 갖는 예시적인 프로세스 챔버 시스템(200)의 단면도를 도시한다. 막, 예를 들어, 질화티타늄, 질화탄탈럼, 금속, 규소, 폴리실리콘, 산화규소, 질화규소, 산질화규소, 산탄화규소 등의 식각 동안, 프로세스 가스는 가스 유입구 조립체(205)를 통해 제1 플라즈마 영역(215) 내로 유동될 수 있다. 원격 플라즈마 시스템(201)이 시스템에 선택적으로 포함될 수 있고, 제1 가스를 처리할 수 있으며, 이 가스는 그 후 가스 유입구 조립체(205)를 통해 이동한다. 유입구 조립체(205)는 2개 이상의 별개의 가스 공급 채널들을 포함할 수 있고, 가스 공급 채널들에서 제2 채널(도시되지 않음)은, 포함된 경우, RPS(201)를 우회할 수 있다.
냉각 판(203), 면판(217), 이온 억제기(223), 샤워헤드(225), 및 페디스털(265) 또는 기판 지지부 - 기판 지지부는 기판 지지부 상에 배치된 기판(255)을 가짐 - 가 도시되며, 실시예들에 따라 각각 포함될 수 있다. 페디스털(265)은 열 교환 채널을 가질 수 있으며, 기판의 온도를 제어하기 위해 열 교환 채널을 통해 열 교환 유체가 유동하고, 열 교환 채널은 처리 작동들 동안 기판 또는 웨이퍼를 가열하고/거나 냉각하도록 작동될 수 있다. 알루미늄, 세라믹, 또는 이들의 조합을 포함할 수 있는, 페디스털(265)의 웨이퍼 지지 플래터는 또한, 비교적 높은 온도들, 예컨대, 최대 100 ℃ 또는 약 100 ℃ 내지 약 1100 ℃ 또는 1100 ℃ 초과를 달성하기 위해, 내장된 저항성 가열기 요소를 사용하여 저항성 가열될 수 있다.
면판(217)은 피라미드형, 원뿔형, 또는 좁은 최상부 부분이 넓은 바닥 부분으로 확장되는 다른 유사한 구조일 수 있다. 면판(217)은 추가적으로, 도시된 바와 같이 평평할 수 있고, 프로세스 가스들을 분배하는 데에 사용되는 복수의 관통 채널들을 포함할 수 있다. RPS(201)의 사용에 따라, 플라즈마 생성 가스들 및/또는 플라즈마 여기된 종들은, 제1 플라즈마 영역(215) 내로의 더 균일한 전달을 위해 면판(217)의, 도 2b에 도시된 복수의 홀들을 통과할 수 있다.
예시적인 구성들은, 가스들/종들이 면판(217)의 홀들을 통해 제1 플라즈마 영역(215) 내로 유동하도록, 면판(217)에 의해 제1 플라즈마 영역(215)으로부터 구획된 가스 공급 영역(258) 내로 개방된 가스 유입구 조립체(205)를 갖는 것을 포함할 수 있다. 구조적 및 작동적 피쳐들은 제1 플라즈마 영역(215)으로부터 다시 공급 영역(258), 가스 유입구 조립체(205) 및 유체 공급 시스템(210) 내로의 플라즈마의 상당한 역류를 방지하도록 선택될 수 있다. 면판(217), 또는 챔버의 전도성 최상부 부분, 및 샤워헤드(225)는 피쳐들 사이에 위치된 절연 링(220)을 갖는 것으로 도시되며, 절연 링은 AC 전위가 샤워헤드(225) 및/또는 이온 억제기(223)에 대해서 면판(217)에 인가되는 것을 허용한다. 절연 링(220)은 면판(217)과 샤워헤드(225) 및/또는 이온 억제기(223) 사이에 위치되어, 용량성 결합된 플라즈마가 제1 플라즈마 영역에 형성되는 것을 가능하게 할 수 있다. 가스 유입구 조립체(205)를 통하는, 영역 내로의 유체의 유동에 영향을 미치기 위해 배플(도시되지 않음)이 제1 플라즈마 영역(215)에 추가적으로 위치되거나, 다른 방식으로 가스 유입구 조립체(205)와 결합될 수 있다.
이온 억제기(223)는, 이온성 대전된 종들이 제1 플라즈마 영역(215) 밖으로 이동하는 것을 억제하는 한편, 대전되지 않은 중성 또는 라디칼 종들이 이온 억제기(223)를 통과하여 억제기와 샤워헤드 사이의 활성화된 가스 전달 영역 내로 전달되는 것을 허용하도록 구성된 구조 전반에 걸쳐 복수의 애퍼쳐들을 한정하는 판 또는 다른 기하형상을 포함할 수 있다. 실시예들에서, 이온 억제기(223)는 다양한 애퍼쳐 구성들을 갖는 천공된 판을 포함할 수 있다. 이러한 대전되지 않은 종들은, 덜 반응성인 캐리어 가스와 함께 애퍼쳐들을 통해 운송되는 고도의 반응성 종들을 포함할 수 있다. 위에서 언급된 바와 같이, 홀들을 통한 이온 종들의 이동이 감소될 수 있고, 일부 경우들에서는 완전히 억제될 수 있다. 이온 억제기(223)를 통과하는 이온 종들의 양을 제어하는 것은 유리하게, 하부 웨이퍼 기판과 접촉하게 되는 가스 혼합물에 대한 증가된 제어를 제공할 수 있고, 이는 차례로, 가스 혼합물의 증착 및/또는 식각 특징들의 제어를 증가시킬 수 있다. 예를 들어, 가스 혼합물의 이온 농도의 조정들은 가스 혼합물의 식각 선택도, 예를 들어, SiNx:SiOx 식각 비율들, Si:SiOx 식각 비율들 등을 상당히 변경할 수 있다. 증착이 수행되는 대안적인 실시예들에서, 또한, 유전체 물질들에 대한 등각성-대-유동성 양식의 증착들의 균형이 변화될 수 있다.
이온 억제기(223)의 복수의 애퍼쳐들은, 이온 억제기(223)를 통해, 활성화된 가스, 즉, 이온, 라디칼, 및/또는 중성 종의 통과를 제어하도록 구성될 수 있다. 예를 들어, 홀들의 종횡비, 또는 홀 직경 대 길이, 및/또는 홀들의 기하형상은, 이온 억제기(223)를 통과하는 활성화된 가스의 이온성 대전된 종들의 유동이 감소되도록 제어될 수 있다. 이온 억제기(223)의 홀들은 플라즈마 여기 영역(215)을 향하는 테이퍼링된 부분, 및 샤워헤드(225)를 향하는 원통형 부분을 포함할 수 있다. 원통형 부분은 샤워헤드(225)로 전달되는 이온 종들의 유동을 제어하기 위해 형상 및 치수가 결정될 수 있다. 조정가능한 전기 바이어스가 또한, 억제기를 통해 이온 종들의 유동을 제어하기 위한 추가적인 수단으로서 이온 억제기(223)에 인가될 수 있다.
이온 억제기(223)는 플라즈마 생성 영역으로부터 기판으로 이동하는 이온성 대전된 종들의 양을 감소시키거나 제거하도록 기능할 수 있다. 대전되지 않은 중성 및 라디칼 종들은 기판과 반응하기 위해 이온 억제기의 개구부들을 여전히 통과할 수 있다. 실시예들에서, 기판을 둘러싸는 반응 영역에서의 이온성 대전된 종들의 완전한 제거가 수행되지 않을 수 있다는 점을 주목해야 한다. 특정 경우들에서, 이온 종들은 식각 및/또는 증착 프로세스를 수행하기 위해 기판에 도달하도록 의도된다. 이러한 경우들에서, 이온 억제기는 반응 영역에서의 이온 종들의 농도를 프로세스를 보조하는 수준으로 제어하는 것을 도울 수 있다.
이온 억제기(223)와 조합된 샤워헤드(225)는, 여기된 종들이 챔버 플라즈마 영역(215)으로부터 기판 처리 영역(233) 내로 이동하는 것을 여전히 허용하면서, 제1 플라즈마 영역(215)에 존재하는 플라즈마가 기판 처리 영역(233)의 가스들을 직접 여기시키는 것을 회피하는 것을 허용할 수 있다. 이러한 방식으로, 챔버는, 플라즈마가, 식각되는 기판(255)과 접촉하는 것을 방지하도록 구성될 수 있다. 이는 생성된 플라즈마에 의해 직접 접촉된 경우에 손상되거나, 전위되거나, 다른 방식으로 휠 수 있는, 기판 상에 패터닝된 다양한 복잡한 구조들 및 막들을 유리하게 보호할 수 있다. 추가적으로, 플라즈마가 기판과 접촉하거나 기판 수준에 접근하는 것이 허용될 때, 산화물 종들이 식각되는 속도가 증가할 수 있다. 이에 따라, 물질의 노출된 영역이 산화물인 경우, 이러한 물질은, 플라즈마를 기판으로부터 원격으로 유지함으로써 더 보호될 수 있다.
처리 시스템은 플라즈마를 제1 플라즈마 영역(215) 또는 처리 영역(233)에 생성하기 위해 면판(217), 이온 억제기(223), 샤워헤드(225), 및/또는 페디스털(265)에 전력을 제공하기 위해 처리 챔버와 전기적으로 결합된 전력 공급부(240)를 더 포함할 수 있다. 전력 공급부는, 수행되는 프로세스에 따라, 조정가능한 양의 전력을 챔버에 전달하도록 구성될 수 있다. 그러한 구성은 조정가능한 플라즈마가, 수행되는 프로세스들에 사용되는 것을 허용할 수 있다. 종종 활성 또는 비활성 기능성이 제공되는 원격 플라즈마 유닛과 달리, 조정가능한 플라즈마는 특정 양의 전력을 플라즈마 영역(215)에 전달하도록 구성될 수 있다. 이는 차례로, 이러한 전구체들에 의해 생성되는 식각 프로파일들을 향상시키기 위해 전구체들이 특정 방식들로 해리될 수 있도록, 특정 플라즈마 특징들의 발달을 허용할 수 있다.
플라즈마는 샤워헤드(225) 위의 챔버 플라즈마 영역(215)에서 또는 샤워헤드(225) 아래의 기판 처리 영역(233)에서 점화될 수 있다. 예를 들어, 플루오린 함유 전구체 또는 다른 전구체의 유입으로부터 라디칼 전구체들을 생성하기 위해, 플라즈마가 챔버 플라즈마 영역(215)에 존재할 수 있다. 증착 동안 챔버 플라즈마 영역(215)의 플라즈마를 점화하기 위해, 처리 챔버의 전도성 최상부 부분, 예컨대, 면판(217)과 샤워헤드(225) 및/또는 이온 억제기(223) 사이에 전형적인 무선 주파수("RF") 범위의 AC 전압이 인가될 수 있다. RF 전력 공급부는 13.56 MHz의 높은 RF 주파수를 생성할 수 있지만, 또한, 다른 주파수들을 단독으로 또는 13.56 MHz 주파수와 조합하여 생성할 수 있다.
도 2b는 면판(217)을 통한 처리 가스 분배에 영향을 미치는 피쳐들의 상세도(253)를 도시한다. 도 2a 및 2b에 도시된 바와 같이, 면판(217), 냉각 판(203), 및 가스 유입구 조립체(205)는 가스 공급 영역(258)을 한정하도록 교차하며, 프로세스 가스들이 가스 유입구(205)로부터 가스 공급 영역 내로 전달될 수 있다. 가스들은 가스 공급 영역(258)을 채울 수 있고, 면판(217)의 애퍼쳐들(259)을 통해 제1 플라즈마 영역(215)으로 유동할 수 있다. 애퍼쳐들(259)은, 프로세스 가스들이 처리 영역(233) 내로 유동할 수 있지만, 면판(217)을 횡단한 후에 가스 공급 영역(258) 내로의 역류가 부분적으로 또는 완전히 방지될 수 있도록, 유동을 실질적으로 단방향 방식으로 지향시키도록 구성될 수 있다.
처리 챔버 섹션(200)에서 사용하기 위한 가스 분배 조립체들, 예컨대, 샤워헤드(225)는 이중 채널 샤워헤드들로서 지칭될 수 있고, 도 3에 설명된 실시예들에서 추가적으로 상세히 설명된다. 이중 채널 샤워헤드는, 처리 영역 내에 전달되기 전에 챔버 구성요소들과의 그리고 서로 간의 제한된 상호작용을 제공하기 위해 처리 영역(233) 외부에서의 식각제들의 분리를 허용하는 식각 프로세스들을 제공할 수 있다.
샤워헤드(225)는 상부 판(214) 및 하부 판(216)을 포함할 수 있다. 판들은 판들 사이에 용적(218)을 한정하기 위해 서로 결합될 수 있다. 판들의 결합은 상부 판 및 하부 판을 통한 제1 유체 채널들(219) 및 하부 판(216)을 통한 제2 유체 채널들(221)을 제공하기 위한 것일 수 있다. 형성된 채널들은, 제2 유체 채널들(221)만을 통해 하부 판(216)을 통해 용적(218)으로부터의 유체 접근을 제공하도록 구성될 수 있고, 제1 유체 채널들(219)은 판들과 제2 유체 채널들(221) 사이의 용적(218)으로부터 유체적으로 격리될 수 있다. 용적(218)은 샤워헤드(225)의 측을 통해 유체적으로 접근가능할 수 있다.
도 3은 실시예들에 따른 처리 챔버와 사용하기 위한 샤워헤드(325)의 저면도이다. 샤워헤드(325)는 도 2a에 도시된 샤워헤드(225)에 대응할 수 있다. 제1 유체 채널들(219)의 모습을 보여주는 관통 홀들(365)은 샤워헤드(225)를 통한 전구체들의 유동을 제어하고 그에 영향을 미치기 위해 복수의 형상들 및 구성들을 가질 수 있다. 제2 유체 채널들(221)의 모습을 보여주는 작은 홀들(375)이 샤워헤드의 표면에 걸쳐, 심지어는 관통 홀들(365) 사이에도 실질적으로 균일하게 분포될 수 있고, 전구체들이 샤워헤드를 빠져나갈 때 다른 구성들보다 전구체들의 더 균일한 혼합을 제공하는 것을 도울 수 있다.
이전에 논의된 챔버는 식각 방법들을 포함하는 예시적인 방법들을 수행하는 데 사용될 수 있다. 도 4를 참조하면, 본 기술의 실시예들에 따른 방법(400)에서의 예시적인 작동들이 도시된다. 방법(400)은, 방법의 개시 전에, 전공정 처리(front end processing), 증착, 식각, 연마, 세정, 또는 설명된 작동들 전에 수행될 수 있는 임의의 다른 작동들을 포함하는 하나 이상의 작동을 포함할 수 있다. 방법은, 본 기술에 따른 방법들의 일부 실시예들과 구체적으로 연관될 수 있거나 연관되지 않을 수 있는 다수의 선택적 작동들을 포함할 수 있다. 예를 들어, 작동들 중 다수는 수행되는 프로세스들의 더 넓은 범위를 제공하기 위해 설명되지만, 본 기술에 중요하지 않거나, 아래에 더 논의될 바와 같은 대안적인 방법에 의해 수행될 수 있다. 방법(400)은 도 5a-5d에 개략적으로 도시된 작동들을 설명할 수 있고, 그 예시들은 방법(400)의 작동들과 함께 설명될 것이다. 도면들은 부분적인 개략도들만을 예시하고, 기판은 도면들에 예시된 바와 같은 다양한 특징들 및 양상들을 갖는 임의의 개수의 추가적인 물질 및 피쳐가 포함할 수 있다는 것을 이해해야 한다.
방법(400)은, 특정 제조 작동에 대한 반도체 구조를 개발하기 위한 선택적 작동들을 수반할 수 있거나 수반하지 않을 수 있다. 방법(400)이, 도 5a에 예시된 바와 같이, 금속 산화물 제거 작동이 수행될 수 있는 예시적인 구조들을 포함하는 임의의 개수의 반도체 구조 또는 기판(505)에 대해 수행될 수 있다는 것을 이해해야 한다. 예시적인 반도체 구조들은 트렌치, 비아, 또는 하나 이상의 노출된 물질을 포함할 수 있는 다른 함몰된 피쳐들을 포함할 수 있다. 예를 들어, 예시적인 기판은 규소 또는 일부 다른 반도체 기판 물질뿐만 아니라 층간 유전체 물질들을 포함할 수 있으며, 이를 통해, 리세스, 트렌치, 비아, 또는 격리 구조가 형성될 수 있다. 식각 프로세스 동안 임의의 시간에서의 노출된 물질들은 금속 물질들, 하나 이상의 유전체 물질, 접촉 물질, 트랜지스터 물질, 또는 반도체 프로세스들에서 사용될 수 있는 임의의 다른 물질일 수 있거나 이를 포함할 수 있다.
예를 들어, 도 5a는 금속 산화물(510) 물질이 배치될 수 있는 하나 이상의 트렌치 또는 리세스를 한정하는 기판 구조들을 예시할 수 있다. 기판(505)은 기판 상의 하나 이상의 다른 구조 위에 놓인 유전체 물질을 예시할 수 있고, 예시된 구조 아래에 임의의 개수의 물질이 형성될 수 있다는 것을 이해해야 한다. 일부 실시예들에서, 유전체 물질들은 산화규소, 또는 패터닝이 발생할 수 있는 임의의 다른 산화물 또는 질화물일 수 있거나 이를 포함할 수 있다. 금속 산화물을 포함하는 2개의 리세스들만이 도시되지만, 예컨대, 처리될 다양한 패턴들 또는 구조들을 생성하기 위해, 임의의 개수의 리세스 및 인스턴스들이 형성될 수 있다는 것을 이해해야 한다. 나머지 개시내용은 금속 산화물을 참조할 것이지만, 본 기술은 다수의 금속 산화물 및/또는 질화물 막들을 포함할 수 있다는 것을 이해해야 한다. 예를 들어, 금속 산화물은 하나 이상의 전이 금속, 예컨대, 하프늄, 지르코늄, 티타늄뿐만 아니라, 다른 금속들, 예컨대, 알루미늄을 포함할 수 있다. 물질들은 조합 화합물들, 예컨대, 하프늄-지르코늄 산화물뿐만 아니라, 이러한 물질들 중 임의의 물질의 질화물들을 포함할 수 있다. 이에 따라, 본 기술은 임의의 특정 금속 산화물 또는 금속 질화물로 제한되도록 의도되지 않지만, 언급된 화합물들은 본 기술에 의해 구체적으로 포함될 수 있다.
본 기술의 실시예들은, 기판 주위에 기판 구조를 유지하면서, 금속 산화물 물질을 제거하기 위해 제어된 이방성 식각 프로세스를 수행하는 것을 수반할 수 있다. 마스크 물질(515)이 포함될 수 있지만, 금속 산화물의 종래의 등방성 제거는, 또한, 산화물 물질 또는 다른 유전체 또는 규소 함유 물질일 수 있는 기판에서의 언더컷을 야기할 수 있다. 본 기술은, 아래에 설명될 바와 같이, 변형되거나 손상된 물질만을 제거하도록 제거 프로세스를 제어함으로써 등방성 제거를 제한하거나 방지할 수 있다. 결과적으로, 식각된 구조는 덜하거나 더한 언더컷으로 한정될 수 있고, 노출된 물질들은, 특정하게, 노출된 수직 경로들로 식각될 수 있다. 본 기술에 의해 포함되는 일부 실시예들에서, 식각 프로세스들은 본질적으로 또는 완전히 이방성일 수 있는 리세스들을 수행할 수 있다.
언급된 구조는 제한적인 것으로 의도되지 않고, 금속 함유 물질들 또는 다른 금속 함유 물질들을 포함하는 다양한 다른 반도체 구조들 중 임의의 것이 유사하게 포함된다는 것을 이해해야 한다. 다른 예시적인 구조들은, 본 기술이, 금속 함유 물질들을 다른 노출된 물질들, 예컨대, 규소 함유 물질들, 및 다른 데서 논의된 다른 물질들 중 임의의 물질에 대해 선택적으로 제거할 수 있기 때문에, 반도체 제조에서 일반적이고 금속 함유 물질, 예컨대, 금속 산화물이 하나 이상의 다른 물질에 대해 제거되어야 하는 2차원 및 3차원 구조들을 포함할 수 있다. 추가적으로, 고 종횡비 구조가 본 기술로부터 이익을 얻을 수 있지만, 본 기술은 더 낮은 종횡비들 및 임의의 다른 구조들에 동등하게 적용가능할 수 있다.
실시예들에서, 노출된 금속 함유 물질을 제거하기 위해 방법(400)이 수행될 수 있지만, 본 기술의 실시예들에서, 임의의 개수의 산화물 또는 금속 함유 물질이 임의의 개수의 구조에서 제거될 수 있다. 방법들은 금속 산화물의 제거를 위한 특정 작동들을 포함할 수 있다. 나머지 개시내용은 금속 산화물을 일상적으로 논의할 것이지만, 다른 금속 산화물들이 본 기술의 일부 실시예들에 의해 유사하게 처리될 수 있다는 것을 이해해야 한다. 일부 실시예들에서, 방법들은, 다른 노출된 물질들, 예컨대, 유전체 물질, 예를 들어, 산화규소, 및 구조에 사용되는 유전체 또는 전도성 물질들을 포함할 수 있는 임의의 하부 물질들에 대한 금속 산화물의 식각을 제어할 수 있는 다중 작동 식각 프로세스를 포함할 수 있다.
방법(400)은 선택적인 작동(405)에서 반도체 처리 챔버의 처리 영역 내에 플라즈마를 형성하는 단계를 포함할 수 있다. 기판은, 위에서 논의된 바와 같이 작동(405) 이전에 챔버 내에 이미 위치될 수 있다. 오직 예시의 목적들을 위해 챔버(200)를 참조하면, 플라즈마는 영역(233)에, 또는 기판 지지 페디스털에 의해 적어도 부분적으로 한정된 영역 내에 형성되거나 생성될 수 있다. 그러한 플라즈마는 웨이퍼 수준 플라즈마인 것으로 유사하게 이해된다. 작동(410)에서 반도체 기판 상의 노출된 물질, 예컨대, 위에서 논의된 금속 산화물 물질(510)의 표면을 변형시키기 위해 방법(400)에서 플라즈마의 유출물들이 활용될 수 있다. 기판은 반도체 처리 챔버의 처리 영역 내에 있거나 처리 영역에 하우징될 수 있다.
방법(400)의 변형 작동들은 금속 산화물의 제어된 충격을 허용할 수 있고, 이전에 어닐링되고 더 조밀한 산화물 구조를 포함할 수 있는 금속 산화물 구조가, 구조 내의 특정 깊이까지 비정질화되게 할 수 있다. 생성된 플라즈마는, 막에 충격을 가하고 구조 내의 결합들을 파괴하기에 충분한 전력으로 형성될 수 있는 바이어스 플라즈마이거나 이를 포함할 수 있다. 변형 작동이 방향성을 갖고 수행될 수 있기 때문에, 도 5b에 예시된 바와 같이, 마스크 물질(515) 및 금속 산화물 물질(510)만이 플라즈마 유출물들에 의해 접촉될 수 있고, 여기서, 플라즈마에서 생성된 산소 및/또는 다른 이온들(520)은 비교적 직선 경로들로 기판으로 지향될 수 있다. 결과적으로, 후속 사이클들 동안 노출될 수 있는 측벽들을 포함하는 하부 기판(505)은, 금속 산화물을 제거하기 위한 프로세스 작동들 동안 보호될 수 있다.
변형 작동(410)은 산소 함유 가스뿐만 아니라, 이원자 수소, 또는 불활성 가스, 예컨대, 헬륨, 네온, 아르곤, 크립톤, 크세논, 또는 라돈을 포함할 수 있는 하나 이상의 다른 가스를 수반할 수 있다. 플라즈마를 생성하는 데 사용되는 물질은 또한, 제한된 화학적 활성을 가질 수 있거나 변형되는 반도체 표면 상의 노출된 물질과 비반응성일 수 있는 추가적인 물질들 또는 전구체들일 수 있다. 산소 함유 가스, 예컨대, 이원자 산소, 아산화질소, 물, 오존, 또는 다른 산소 함유 물질들을 활용함으로써, 물질 결합들이 막 내에서, 바이어스 전력에 기초하여 제어될 수 있는 침투 깊이까지 비정질화되거나 파괴될 수 있다. 산소 라디칼들은 또한, 막에서 산소와 반응하여 산소를 제거할 수 있고, 이는 변형 작동들 동안 더 다공성인 구조를 생성할 수 있다. 하나 이상의 산소 함유 물질과 함께, 추가적인 가스들, 예컨대, 수소 및/또는 헬륨이 제공될 수 있고, 이는 변형 또는 손상 작동의 양상들에 영향을 미칠 수 있다. 예를 들어, 수소는 작고 가벼운 물질이기 때문에, 더 무거운 물질들에 비해, 수소가 지향되고 있는 물질을 스퍼터링할 가능성이 더 적을 수 있고, 막 내에 더 깊은 침투를 제공할 수 있다. 헬륨은 더 무거운 물질이기 때문에, 헬륨 추가는 막의 산소의 방출 및 결합 파괴를 증가시킬 수 있다. 막을 비정질화하는 것에 추가하여, 나머지 물질은 더 다공성일 수 있고 후속 단계들에서 용적 확장을 용이하게 한다. 물질은 또한, 더 반응성일 수 있고, 변형 프로세스에서 더 많은 댕글링 본드들이 생성된다.
프로세스 조건들이 또한, 변형 작동들을 용이하게 할 수 있다. 예를 들어, 산소 가스로부터 형성된 플라즈마는 플라즈마 유출물들의 기판으로의 방향성 유동을 제공하는 바이어스 플라즈마일 수 있다. 플라즈마는 충격, 스퍼터링, 및 표면 변형의 양을 제한하기 위해 저수준 플라즈마일 수 있다. 실시예들에서, 플라즈마 전력은 약 500 W 이하일 수 있고, 약 450 W 이하, 약 400 W 이하, 약 350 W 이하, 약 300 W 이하, 약 250 W 이하, 약 200 W 이하, 또는 그 미만일 수 있다. 예를 들어, 약 300 W 이하인 플라즈마 전력을 활용함으로써, 하부 구조의 측벽 상호작용 또는 손상을 제한하기 위해 플라즈마 유출물들의 침투 깊이가 제어될 수 있다. 예를 들어, 설명된 바와 같은 변형 작동들은, 반도체 기판 상의 노출된 물질의 표면이, 반도체 기판 내의 노출된 표면으로부터 약 20 nm 이하의 깊이까지 변형되는 것을 허용할 수 있고, 물질들의 표면의, 약 18 nm 이하, 약 16 nm 이하, 약 14 nm 이하, 약 12 nm 이하, 약 10 nm 이하, 약 9 nm 이하, 약 8 nm 이하, 약 7 nm 이하, 약 6 nm 이하, 약 5 nm 이하, 또는 그 미만의 깊이까지의 변형을 허용할 수 있다.
변형 작동은 온도에 비교적 또는 완전히 둔감할 수 있고, 실시예들에서, 온도는 플라즈마 작동들에 대해 아래에 논의되는 온도들 중 임의의 온도로 유지될 수 있다. 또한 처리 챔버 내의 압력이 변형 작동(410) 동안 제어될 수 있다. 예를 들어, 불활성 플라즈마를 형성하고 변형 작동을 수행하는 동안, 처리 챔버 내의 압력은 약 1 Torr 이하로 유지될 수 있다. 추가적으로, 일부 실시예들에서, 처리 챔버 내의 압력은 약 750 mTorr 이하, 약 600 mTorr 이하, 약 500 mTorr 이하, 약 400 mTorr 이하, 약 300 mTorr 이하, 약 250 mTorr 이하, 또는 그 미만으로 유지될 수 있다. 챔버 내의 압력은 생성되는 라디칼 물질들의 평균 자유 경로에 영향을 미침으로써 변형 작동(410)의 방향성에 영향을 미칠 수 있다. 예를 들어, 압력이 증가함에 따라, 변형 프로세스는 산란을 야기할 수 있는 증가된 충돌들로 인해 더 등방성이 될 수 있고, 압력이 감소함에 따라, 변형 프로세스는 평균 자유 경로가 증가함에 따라 더 이방성이 될 수 있고, 바이어스에 의해 제공되는 개선된 방향성을 허용한다. 따라서, 압력이 증가함에 따라, 또한 하부 물질들이 처리되기 시작할 수 있고, 이는 제거 후에, 특정 작동들에서 원래 요구되었던 것을 넘어서 물질을 제거할 수 있다.
금속 산화물 막의 표면 변형 후에, 제거 프로세스가 수행될 수 있고, 이는 2-부분 제거를 포함할 수 있다. 방법(400)은, 제1 할로겐 함유 전구체를 포함하는 할로겐 함유 전구체를, 설명된 기판을 하우징하는 반도체 처리 챔버 내로 유동시키는 단계를 포함할 수 있다. 일부 실시예들에서, 예컨대, 선택적 작동(415)에서, 할로겐 함유 전구체는 플라즈마 강화될 수 있다. 할로겐 함유 전구체는 처리 챔버의 원격 플라즈마 영역, 예컨대, 위에서 설명된 영역(215)을 통해 유동될 수 있고, 플라즈마 유출물들을 생성하기 위해 할로겐 함유 전구체의 플라즈마가 형성될 수 있다. 기판 수준 플라즈마가 생성될 수 있지만, 일부 실시예들에서, 플라즈마는, 노출된 기판 물질들을 기판 수준 플라즈마로 인해 발생할 수 있는 이온 충격으로부터 보호할 수 있는 원격 플라즈마일 수 있다. 플라즈마가 형성될 때, 용량성 결합된 플라즈마 또는 다른 형성된 플라즈마의 플라즈마 전력은 이전에 언급된 플라즈마 전력 수준들 중 임의의 것 이하로 유지될 수 있다. 추가적으로, 일부 실시예들에서, 제1 할로겐 함유 전구체는, 예컨대, 챔버의 처리 영역 내로 직접 유동될 수 있는 플루오린화수소를 활용함으로써, 플라즈마 강화되지 않을 수 있다.
플라즈마 강화되든 아니든 간에, 작동(420)에서, 할로겐 함유 전구체 또는 제1 할로겐 함유 전구체의 플라즈마 유출물들은 기판 처리 영역에 전달될 수 있고, 여기서, 유출물들은, 도 5c에 예시된 바와 같이, 작동(425)에서, 손상된 또는 비정질화된 금속 함유 물질을 포함하는 반도체 기판과 접촉할 수 있다. 플루오린 이온들뿐만 아니라 추가적인 이온들, 예컨대, 아래에 언급될 수소 이온들일 수 있는 플루오린 물질들(525)은 기판과 상호작용하기 위해 처리 영역으로 유동될 수 있다. 금속 산화물 물질의 비정질화된 부분의 다공성 속성으로 인해, 플루오린 라디칼들 또는 물질들이 다공성 또는 손상된 구조에 포함될 수 있고, 구조를 통해, 수행된 변형의 수준까지 확산될 수 있다. 비정질 구조는 플루오린 물질들을 더 용이하게 수용할 수 있고, 이는 금속 산화물 물질의 변형된 부분의 용적 확장을 야기할 수 있다. 접촉은, 예컨대, 기판 상의 노출된 금속 산화물을 변환함으로써, 플루오린화 물질, 예컨대, 금속 옥시플루오라이드 또는 금속 산화물 할라이드 물질을 생성할 수 있다. 일부 실시예들에서, 플루오린화에 후속하여, 플라즈마가 소화될 수 있고, 챔버가 퍼징될 수 있다. 금속 옥시플루오라이드 물질들이 챔버 작동 조건들에서 휘발성이 아닐 수 있기 때문에, 비정질화되고 플루오린화된 부분이 제거되게 하기 위해 추가적인 작동이 수행될 수 있다. 추가적으로, 플루오린화는 하부 금속 산화물 또는 금속 산화물의 변형되지 않은 부분들에 침투하지 않을 수 있기 때문에, 제거의 양은 변형된 물질의 깊이로 제한될 수 있다.
플루오린화 작동에 후속하여, 작동(430)에서, 식각제 전구체가 처리 영역 내로 유동될 수 있다. 일부 실시예들에서, 식각제 전구체는 제2 할로겐 함유 전구체일 수 있고, 제1 할로겐 함유 전구체와 동일하거나 상이한 할로겐을 포함할 수 있다. 식각제 전구체는, 처리 조건들 하에서 휘발성일 수 있고 기판으로부터 방출될 수 있는 금속 및/또는 산소 부산물들을 생성하기 위해, 플루오린화된 금속 산화물 또는 다른 산화물 물질과 상호작용할 수 있다. 이에 따라, 식각제 전구체는 작동(435)에서 플루오린화 물질과 접촉할 수 있고, 물질의 플루오린과 리간드 교환을 수행할 수 있으며, 이는 기판으로부터 방출될 수 있는 휘발성 부산물들을 생성할 수 있다. 본 기술의 실시예들에 따른 2 제거 작동들을 수행함으로써, 변형된 물질의 제어된 제거가 수행될 수 있고, 이는 기판 물질들뿐만 아니라 하부 물질들을 유지할 수 있다. 도 5d에 예시된 바와 같이, 제2 할로겐 전구체(530)가 전달될 수 있고, 이는 플루오린화 금속 산화물과 리간드 교환을 형성할 수 있다. 결과적으로, 금속 염화물, 금속 산염화물, 또는 금속, 산소, 플루오린, 수소, 및 염소 중 하나 이상을 함유하는 다른 물질들을 포함할 수 있는 금속 부산물들(535)이 기판으로부터 방출될 수 있고, 이는 하부 금속 산화물, 또는 기판 물질들을 노출시킬 수 있다. 방법은, 별개의 층들에서 금속 산화물을 계속 제거하기 위해 임의의 횟수의 사이클들만큼 반복될 수 있다. 이는 변형의 깊이에 기초하여 제어된 제거를 생성할 수 있고, 이는, 본 기술의 실시예들에 따라, 형성된 금속 산화물 물질의 일부 또는 전부가 기판들로부터 제거되는 것을 허용할 수 있다.
제2 할로겐 전구체가 또한 플라즈마 강화될 수 있지만, 일부 실시예들에서, 제2 전구체는 플라즈마 강화되지 않을 수 있고, 일부 실시예들에서, 반도체 처리 챔버는 제2 할로겐 전구체를 활용하는 전달 및 작동들 동안 플라즈마 없는 상태로 유지될 수 있다. 특정 전구체들을 활용하고, 특정 프로세스 조건들 내에서 식각을 수행함으로써, 플라즈마가 없는 제거가 수행될 수 있고, 제거는 또한, 건식 식각일 수 있다. 이에 따라, 고 종횡비 피쳐들을 포함하는, 임의의 개수의 피쳐들, 및 그렇지 않으면 습식 식각 또는 반응성 이온 식각에 부적합할 수 있는 얇은 치수들로부터 금속 산화물을 제거하기 위해, 본 기술의 양상들에 따른 기법들이 수행될 수 있다.
2-단계 제거 작동 각각 동안의 전구체들은 할로겐 함유 전구체들을 포함할 수 있고, 일부 실시예들에서는 플루오린 또는 염소 중 하나 이상을 포함할 수 있다. 제1 전구체로서 활용될 수 있는 일부 예시적인 전구체들은, 플루오린화수소, 삼플루오린화질소, 또는 임의의 유기플루오라이드를 포함하는 할로겐화물들을 포함할 수 있다. 전구체들은 또한, 다양한 조합들로 함께 유동될 수 있다. 일부 실시예들에서, 삼플루오린화질소, 또는 일부 다른 플루오린 함유 전구체는 제1 작동에서 금속 산화물의 플루오린화 표면을 생성하도록 강화된 플라즈마 및 수소와 함께 원격 플라즈마 영역에 전달될 수 있다. 제2 할로겐 전구체로서 활용되는 식각제 전구체들은, 예컨대, 삼염화붕소, 사염화티타늄 또는 임의의 다른 염소 물질들을 포함하는 염소 함유 전구체들일 수 있거나 이를 포함할 수 있다. 추가적으로, 일부 실시예들에서, 예컨대, 국부적으로 또는 원격으로 수행되는 플라즈마 프로세스에서, 염소 라디칼 물질들이 생성될 수 있고, 이는 금속 산화물의 플루오린화 부분과 상호작용하기 위해 염소 라디칼 물질들을 전달할 수 있다. 본 기술의 처리 조건들에서, 이러한 염소 함유 물질들은 플루오린화 금속 산화물을 제거할 수 있는 휘발성 부산물들의 형성을 용이하게 할 수 있다. 예를 들어, 일부 부산물들은, 처리 조건들에서 휘발성일 수 있는 금속 옥시클로라이드 또는 금속 염화물을 포함할 수 있고, 기판으로부터의 물질의 제거를 용이하게 한다.
처리 조건들은 본 기술에 따른 식각에 영향을 주고 식각을 용이하게 할 수 있다. 식각 반응은 제2 할로겐 전구체와 플루오린화 산화물 물질 사이의 제2 반응을 위한 할로겐의 열 해리에 기초하여 진행될 수 있기 때문에, 온도들은 해리를 개시하기 위해 특정 할로겐 또는 전구체에 적어도 부분적으로 의존할 수 있다. 예를 들어, 온도가 약 100 ℃ 이상 또는 약 150 ℃ 이상으로 증가함에 따라, 식각이 발생하거나 증가하기 시작할 수 있고, 이는 전구체의 해리 및/또는 금속 옥시플루오라이드와의 반응의 활성화를 나타낼 수 있다. 온도가 계속 증가함에 따라, 플루오린화 금속 산화물과의 반응이 그러할 수 있는 것처럼 해리가 더 용이하게 될 수 있다.
이에 따라, 본 기술의 일부 실시예들에서, 식각 방법들은 약 100 ℃ 이상의 기판, 페디스털, 및/또는 챔버 온도들에서 수행될 수 있고, 약 150 ℃ 이상, 약 200 ℃ 이상, 약 250 ℃ 이상, 약 300 ℃ 이상, 약 350 ℃ 이상, 약 400 ℃ 이상, 약 450 ℃ 이상, 또는 그 초과의 온도들에서 수행될 수 있다. 온도는 또한, 이러한 범위들 내의 임의의 온도, 이러한 범위들에 의해 포함되는 더 작은 범위들 내의 임의의 온도, 또는 이러한 범위들 중 임의의 범위 사이의 임의의 온도로 유지될 수 있다. 일부 실시예들에서, 방법은 열 예산을 생성할 수 있는 다수의 형성된 피쳐들을 가질 수 있는 기판들 상에서 수행될 수 있다. 추가적으로, 예컨대, 플루오린 함유 전구체를 포함하는 제1 식각제 작동은 제2 식각제 작동의 온도 미만의 온도에서 수행될 수 있다. 예를 들어, 플루오린 물질들의 전달 동안, 기판 온도는 약 300 ℃ 이하로 유지될 수 있고, 약 250 ℃ 이하, 약 200 ℃ 이하, 약 150 ℃ 이하, 약 100 ℃ 이하, 또는 그 미만으로 유지될 수 있으며, 이는, 기판 상의 다른 물질들의 반응성 식각을 제한하면서, 금속 산화물의 비정질화된 영역에서 플루오린화가 발생하는 것을 허용할 수 있다. 그 다음, 온도는 제2 작동에 대해 위에서 언급된 온도 범위들 중 임의의 것, 예컨대, 약 300 ℃ 이상으로 상승될 수 있거나, 기판은 염소화 작동을 위해 더 높은 온도로 유지되는 제2 챔버로 이송될 수 있다.
챔버 내의 압력은 또한, 수행되는 작동들에 영향을 미칠 수 있을뿐만 아니라, 제2 제거 작동을 위해 할로겐이 전이 금속으로부터 해리될 수 있는 온도에 영향을 미칠 수 있다. 플라즈마 강화 전구체들에 기초할 수 있는 플루오린화를 용이하게 하기 위해, 처리 압력은, 일부 실시예들에서 열 기반일 수 있는 제2 제거 작동에서보다 더 낮을 수 있다. 제1 작동에서, 예컨대, 제1 할로겐 전구체의 사용 동안 더 낮은 압력을 유지함으로써, 기판 표면에서의 증가된 상호작용이 용이해질 수 있다. 방법의 제1 부분 동안의 더 낮은 압력은, 위에서 논의된 바와 같이, 원자들 사이의 평균 자유 경로를 증가시킬 수 있고, 막 표면에서의 상호작용 및 에너지를 증가시킬 수 있다. 방법의 제2 부분에서, 예컨대, 제2 할로겐 전구체의 사용 동안 더 높은 압력을 활용함으로써, 식각 속도가 증가될 수 있지만, 일부 실시예들에서는, 압력이 제2 제거 작동 전에, 다른 곳에서 언급된 압력들 중 임의의 압력으로 유지되거나 낮춰질 수 있다. 이에 따라, 일부 실시예들에서, 압력은 식각의 제1 부분 동안, 예컨대, 작동들(405-415) 동안 약 20 Torr 미만으로 유지될 수 있고, 압력은 약 15 Torr 이하, 약 10 Torr 이하, 약 9 Torr 이하, 약 8 Torr 이하, 약 7 Torr 이하, 약 6 Torr 이하, 약 5 Torr 이하, 약 4 Torr 이하, 약 3 Torr 이하, 약 2 Torr 이하, 약 1 Torr 이하, 약 0.5 Torr 이하, 또는 그 미만으로 유지될 수 있다.
그 다음, 압력은 방법의 제2 부분 동안, 예컨대, 작동들(420-425) 동안 유지, 감소, 또는 증가될 수 있다. 압력이 증가될 때, 압력은 약 1 Torr 이상의 압력으로 유지될 수 있고, 약 5 Torr 이상, 약 10 Torr 이상, 약 15 Torr 이상, 약 20 Torr 이상, 약 25 Torr 이상, 약 30 Torr 이상, 약 35 Torr 이상, 약 40 Torr 이상, 약 45 Torr 이상, 약 50 Torr 이상, 약 75 Torr 이상, 약 100 Torr 이상, 또는 그 초과로 유지될 수 있으며, 이는 최대 대기압까지 연장될 수 있지만, 일부 실시예들에서는 진공 조건들이 작동들을 용이하게 할 수 있다. 압력은 또한, 이러한 범위들 내의 임의의 압력, 이러한 범위들에 의해 포함되는 더 작은 범위들 내의 임의의 압력, 또는 이러한 범위들 중 임의의 범위 사이의 임의의 압력으로 유지될 수 있다.
이전에 언급된 바와 같이, 일부 실시예들에서, 수소는, 제1 제거 작동 동안, 삼플루오린화질소 또는 제1 할로겐 전구체와 함께 전달될 수 있다. 수소를 포함함으로써, 플루오린으로부터의 물질들의 식각 속도들이 플루오린화 동안 감소되거나 억제될 수 있다. 제거될 금속 플루오린화물에 인접한 하부 물질들을 보호하기 위해, 수소는 제1 할로겐 함유 전구체의 유량보다 더 큰 유량으로 전달될 수 있다. 예를 들어, 제1 할로겐 함유 전구체가 삼플루오린화질소일 수 있는 일부 실시예들에서, 수소 대 삼플루오린화질소의 유량 비율은 약 1.5:1 이상일 수 있고, 수소 대 삼플루오린화질소의 유량 비율은 약 2.0:1 이상, 약 2.5:1 이상, 약 3.0:1 이상, 약 3.5:1 이상, 약 4.0:1 이상, 약 4.5:1 이상, 약 5.0:1 이상, 약 10.0:1 이상, 또는 그 초과일 수 있다. 수소 라디칼들은, 플루오린이 피쳐 내의 비정질화된 금속 산화물 물질과 상호작용하는 동안 다른 노출된 물질들을 부동태화하는 것을 도울 수 있다.
본 기술의 실시예들에 따른 물질들을 활용함으로써, 금속 산화물의 제어된 방향성 제거가 수행될 수 있다. 고도의 방향성 변형 작동을 수행하는 것은, 기판 상의 다른 물질들에 대해, 비정질화된 물질에 대해 선택적일 수 있는 제어된 제거 작동을 허용할 수 있다. 이전에 논의된 방법들은 다수의 다른 노출된 물질들에 대한 금속 산화물 또는 다른 산화물 물질들의 제거를 허용할 수 있다. 이전에 설명된 바와 같은 다중 전구체 식각제 프로세스들을 활용함으로써, 금속 산화물의 개선된 식각이 수행될 수 있고, 이는 종래의 기법들에 비해 선택도를 증가시킬 수 있을뿐만 아니라, 작은 피치의 피쳐들에서의 식각 접근을 개선할 수 있다.
앞의 설명에서, 설명의 목적들을 위해, 본 기술의 다양한 실시예들의 이해를 제공하기 위해 다수의 세부사항들이 열거되었다. 그러나, 특정 실시예들은 이러한 세부사항들 중 일부가 없이, 또는 추가적인 세부사항들과 함께 실시될 수 있다는 것이 관련 기술분야의 통상의 기술자에게 자명할 것이다.
몇몇 실시예들을 개시하였지만, 실시예들의 사상으로부터 벗어나지 않고 다양한 수정들, 대안적인 구성들, 및 등가물들이 사용될 수 있음을 관련 기술분야의 통상의 기술자들이 인식할 것이다. 추가적으로, 본 기술을 불필요하게 모호하게 하는 것을 회피하기 위해, 다수의 잘 알려진 프로세스들 및 요소들은 설명되지 않았다. 이에 따라, 위의 설명은 본 기술의 범위를 제한하는 것으로 간주되어서는 안 된다. 추가적으로, 방법들 또는 프로세스들은 순차적이거나 단계들로서 설명될 수 있지만, 작동들은 동시에 또는 나열된 것과 상이한 순서들로 수행될 수 있다는 것을 이해해야 한다.
값들의 범위가 제공되는 경우, 맥락이 명백하게 다르게 지시하지 않는 한, 그 범위의 상한과 하한 사이에서 하한의 단위의 최소 분율(smallest fraction)까지, 각각의 중간 값이 또한 구체적으로 개시된다는 점을 이해해야 한다. 언급된 범위의 임의의 언급된 값들 또는 언급되지 않은 중간 값들과, 그 언급된 범위의 임의의 다른 언급된 값 또는 중간 값 사이의 임의의 더 좁은 범위가 포함된다. 그러한 더 작은 범위들의 상한 및 하한은 그 범위에 독립적으로 포함되거나 제외될 수 있고, 더 작은 범위들에 그 한계치들 중 어느 한쪽 또는 양쪽 모두가 포함되는, 또는 양쪽 모두가 포함되지 않는 각각의 범위는 또한, 언급된 범위의 임의의 구체적으로 제외된 한계치를 조건으로 하여 본 기술 내에 포함된다. 언급된 범위가 한계치들 중 어느 한쪽 또는 양쪽 모두를 포함하는 경우, 그러한 포함된 한계치들 중 어느 한쪽 또는 양쪽 모두를 제외한 범위들이 또한 포함된다.
본원 및 첨부된 청구항들에서 사용된 바와 같이, 단수 형태는 맥락이 명백하게 다르게 지시하지 않는 한, 복수 지시대상을 포함한다. 따라서, 예를 들어, "전구체"에 대한 언급은 복수의 그러한 전구체들을 포함하고, "층"에 대한 언급은, 하나 이상의 층 및 관련 기술분야의 통상의 기술자에게 알려진 그의 등가물들에 대한 언급을 포함하는 것 등이다.
또한, "포함"이라는 단어는, 본 명세서 및 이하의 청구항들에서 사용될 때, 언급된 특징들, 정수들, 구성요소들, 또는 작동들의 존재를 명시하도록 의도되지만, 이는 하나 이상의 다른 특징들, 정수들, 구성요소들, 작동들, 작용들, 또는 군들의 추가 또는 존재를 배제하지 않는다.

Claims (20)

  1. 식각 방법으로서,
    금속 산화물의 변형된 부분을 생성하기 위해, 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 산화물 층의 노출된 표면을 변형시키는 단계;
    상기 금속 산화물의 변형된 부분을 플루오린 함유 전구체와 접촉시키는 단계 - 상기 접촉시키는 단계는 금속 옥시플루오라이드 물질을 생성함 -;
    식각제 전구체를 상기 처리 영역 내로 유동시키는 단계;
    상기 금속 옥시플루오라이드 물질을 상기 식각제 전구체와 접촉시키는 단계; 및
    상기 금속 옥시플루오라이드 물질을 제거하는 단계
    를 포함하는, 식각 방법.
  2. 제1항에 있어서,
    상기 식각제 전구체는 염소 함유 전구체를 포함하고, 상기 금속 산화물은 산화하프늄을 포함하는, 식각 방법.
  3. 제1항에 있어서,
    상기 플루오린 함유 전구체는 플루오린화수소 또는 플라즈마 강화 플루오린 함유 전구체를 포함하는, 식각 방법.
  4. 제3항에 있어서,
    상기 플라즈마 강화 플루오린 함유 전구체는 상기 반도체 처리 챔버의 원격 플라즈마 영역에 형성되는, 식각 방법.
  5. 제1항에 있어서,
    상기 금속 산화물 층의 노출된 표면을 변형시키는 단계는:
    산소 함유 플라즈마 유출물들을 생성하기 위해 산소 함유 전구체의 플라즈마를 형성하는 단계, 및
    상기 산소 함유 플라즈마 유출물들을 상기 금속 산화물 층의 상기 노출된 표면으로 지향시키는 단계를 포함하는, 식각 방법.
  6. 제5항에 있어서,
    상기 플라즈마 유출물들은 금속 산화물의 일부가 비정질 금속 산화물이 되게 하는, 식각 방법.
  7. 제5항에 있어서,
    상기 산소 함유 전구체의 플라즈마는 약 100 W 이상의 플라즈마 전력으로 상기 처리 영역에서 형성되는, 식각 방법.
  8. 제1항에 있어서,
    상기 반도체 처리 챔버는, 상기 처리 영역 내로의 상기 식각제 전구체의 유동 동안 플라즈마 없이 유지되는, 식각 방법.
  9. 제1항에 있어서,
    상기 반도체 처리 챔버 내의 온도는, 상기 금속 옥시플루오라이드 물질을 상기 식각제 전구체와 접촉시키기 전에 증가되는, 식각 방법.
  10. 제1항에 있어서,
    상기 반도체 처리 챔버에서의 압력은 상기 플루오린 함유 전구체를 유동시키는 동안 약 5 Torr 이하로 유지되는, 식각 방법.
  11. 제10항에 있어서,
    상기 반도체 처리 챔버에서의 압력은 상기 식각제 전구체를 상기 처리 영역 내로 유동시키는 동안 약 15 Torr 이상으로 유지되는, 식각 방법.
  12. 식각 방법으로서,
    금속 함유 물질의 변형된 부분을 생성하기 위해, 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 함유 물질 층의 노출된 표면을 변형시키는 단계;
    플라즈마 유출물들을 생성하기 위해 플라즈마를 타격하면서 제1 할로겐 함유 전구체를 상기 반도체 처리 챔버의 원격 플라즈마 영역 내로 유동시키는 단계;
    상기 금속 함유 물질의 변형된 부분을 상기 플라즈마 유출물들과 접촉시키는 단계 - 상기 접촉시키는 단계는 금속 플루오린화물 물질을 생성함 -;
    제2 할로겐 함유 전구체를 상기 처리 영역 내로 유동시키는 단계;
    상기 금속 플루오린화물 물질을 상기 제2 할로겐 함유 전구체와 접촉시키는 단계; 및
    상기 금속 플루오린화물 물질을 제거하는 단계
    를 포함하는, 식각 방법.
  13. 제12항에 있어서,
    상기 제1 할로겐 함유 전구체는 플루오린을 포함하고, 상기 제2 할로겐 함유 전구체는 삼염화붕소를 포함하고, 상기 금속 함유 물질은, 알루미늄, 하프늄, 지르코늄, 또는 티타늄을 포함하는 산화물 또는 질화물을 포함하는, 식각 방법.
  14. 제12항에 있어서,
    상기 제2 할로겐 함유 전구체를 유동시키기 전에 플라즈마 형성을 중단하는 단계를 더 포함하는, 식각 방법.
  15. 제12항에 있어서,
    상기 금속 함유 물질의 변형된 부분을 상기 플라즈마 유출물들과 접촉시키는 단계는 제1 온도에서 수행되는, 식각 방법.
  16. 제15항에 있어서,
    상기 금속 플루오린화물 물질을 상기 제2 할로겐 함유 전구체와 접촉시키는 단계는 상기 제1 온도 초과의 제2 온도에서 수행되는, 식각 방법.
  17. 제12항에 있어서,
    상기 제1 할로겐 함유 전구체는 삼플루오린화질소를 포함하고, 상기 방법은:
    상기 제1 할로겐 함유 전구체와 함께 수소를 유동시키는 단계를 더 포함하는, 식각 방법.
  18. 제17항에 있어서,
    상기 수소의 유량은 상기 제1 할로겐 함유 전구체의 유량의 적어도 2배인, 식각 방법.
  19. 제12항에 있어서,
    상기 금속 함유 물질 층의 노출된 표면을 변형시키는 단계는:
    산소 함유 플라즈마 유출물들을 생성하기 위해 산소 함유 전구체의 플라즈마를 형성하는 단계, 및
    상기 플라즈마 유출물들을 상기 금속 함유 물질 층의 상기 노출된 표면으로 지향시키는 단계를 포함하는, 식각 방법.
  20. 식각 방법으로서,
    산소 함유 플라즈마 유출물들을 생성하기 위해 산소 함유 전구체의 플라즈마를 형성하는 단계;
    금속 산화물의 변형된 부분을 생성하기 위해, 상기 산소 함유 플라즈마 유출물들을 반도체 처리 챔버의 처리 영역에 하우징된 기판 상의 금속 산화물 층에 지향시키는 단계;
    플라즈마 유출물들을 생성하기 위해 원격 플라즈마 영역 내의 플라즈마를 타격하면서 플루오린 함유 전구체를 상기 반도체 처리 챔버의 상기 원격 플라즈마 영역 내로 유동시키는 단계;
    상기 금속 산화물의 변형된 부분을 상기 플라즈마 유출물들과 접촉시키는 단계 - 상기 접촉시키는 단계는 금속 옥시플루오라이드 물질을 생성함 -;
    염소 함유 전구체를 상기 처리 영역 내로 유동시키는 단계;
    상기 금속 옥시플루오라이드 물질을 상기 염소 함유 전구체와 접촉시키는 단계; 및
    상기 금속 옥시플루오라이드 물질을 제거하는 단계
    를 포함하는, 식각 방법.
KR1020247005317A 2021-07-15 2022-06-16 금속 산화물 방향성 제거 KR20240027850A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/376,337 2021-07-15
US17/376,337 US20230015080A1 (en) 2021-07-15 2021-07-15 Metal oxide directional removal
PCT/US2022/033846 WO2023287545A1 (en) 2021-07-15 2022-06-16 Metal oxide directional removal

Publications (1)

Publication Number Publication Date
KR20240027850A true KR20240027850A (ko) 2024-03-04

Family

ID=84890961

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247005317A KR20240027850A (ko) 2021-07-15 2022-06-16 금속 산화물 방향성 제거

Country Status (5)

Country Link
US (1) US20230015080A1 (ko)
KR (1) KR20240027850A (ko)
CN (1) CN117678057A (ko)
TW (1) TW202314829A (ko)
WO (1) WO2023287545A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US20070251939A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Control scheme for cold wafer compensation on a lithography track
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP2014049466A (ja) * 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10208383B2 (en) * 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
WO2018180663A1 (ja) * 2017-03-27 2018-10-04 株式会社 日立ハイテクノロジーズ プラズマ処理方法
JP6845773B2 (ja) * 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2022516772A (ja) * 2019-01-15 2022-03-02 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
US11574813B2 (en) * 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11626326B2 (en) * 2020-04-28 2023-04-11 Taiwan Semiconductor Manufacturing Company Limited Interconnect structures for semiconductor devices and methods of manufacturing the same
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Also Published As

Publication number Publication date
CN117678057A (zh) 2024-03-08
US20230015080A1 (en) 2023-01-19
TW202314829A (zh) 2023-04-01
WO2023287545A1 (en) 2023-01-19

Similar Documents

Publication Publication Date Title
TW201826386A (zh) 用於高深寬比結構之移除方法
KR20220154787A (ko) 알루미늄 함유 막 제거를 위한 시스템들 및 방법들
KR102476308B1 (ko) 공극들을 형성하기 위한 시스템들 및 방법들
KR20240027850A (ko) 금속 산화물 방향성 제거
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
US20220351979A1 (en) Systems and methods for selective metal compound removal
KR20240003446A (ko) 고 종횡비 피쳐들에서의 금속 식각
KR20220157476A (ko) 등방적 질화규소 제거
US10256112B1 (en) Selective tungsten removal
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US11984325B2 (en) Selective removal of transition metal nitride materials
US11488835B2 (en) Systems and methods for tungsten-containing film removal
JP7503650B2 (ja) タングステン含有膜除去のためのシステム及び方法
KR20240021285A (ko) 고 종횡비 피쳐들에서의 금속 증착 및 식각
TW202247283A (zh) 含釕材料的選擇性移除法
WO2023229628A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features