CN117678057A - 金属氧化物定向移除 - Google Patents

金属氧化物定向移除 Download PDF

Info

Publication number
CN117678057A
CN117678057A CN202280048755.1A CN202280048755A CN117678057A CN 117678057 A CN117678057 A CN 117678057A CN 202280048755 A CN202280048755 A CN 202280048755A CN 117678057 A CN117678057 A CN 117678057A
Authority
CN
China
Prior art keywords
plasma
metal
precursor
containing precursor
etching method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280048755.1A
Other languages
English (en)
Inventor
王柏玮
R·P·雷迪
X·C·陈
崔振江
王安川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117678057A publication Critical patent/CN117678057A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

示例性蚀刻方法可包括对容纳在半导体处理腔室的处理区域中的基板上的金属氧化物层的暴露表面进行改性,以产生金属氧化物的改性部分。所述方法可包括将金属氧化物的改性部分与含氟前驱物接触。所述接触可产生金属氟氧化物材料。所述方法可包括将蚀刻剂前驱物流入处理区域。所述方法可包括使金属氟氧化物材料与蚀刻剂前驱物接触。所述方法可包括移除金属氟氧化物材料。

Description

金属氧化物定向移除
交叉引用
本申请要求于2021年7月15日提交的美国申请第17/376,337号的优先权。所述美国申请的公开内容出于所有目的通过引用以其整体并入本文。
技术领域
本技术涉及半导体工艺和装备。更具体地,本技术涉及定向蚀刻含金属结构。
背景技术
通过在基板表面上产生复杂图案化材料层的工艺,集成电路成为可能。在基板上产生图案化材料需要用于移除暴露材料的受控方法。化学蚀刻用于各种目的,包括将光刻胶中的图案转移到底层中,使层变薄,或者使表面上已经存在的特征的横向尺寸变薄。通常希望有蚀刻一种材料比蚀刻另一种材料更快的蚀刻工艺,从而促进例如图案转移工艺。将这种蚀刻工艺称为对第一材料是选择性的。由于材料、电路和工艺的多样性,已经开发出对各种材料具有选择性的蚀刻工艺。
基于工艺中使用的材料,可将蚀刻工艺称为湿式或干式。例如,湿式蚀刻可相对于其他电介质和材料优先移除一些氧化物电介质。然而,湿式工艺可能难以穿透一些受限的沟槽,并且有时还可能使剩余的材料畸变。在基板处理区域内形成的局部等离子体中产生的干式蚀刻可穿透更多受限的沟槽,并展现出精细剩余结构的更少畸变。然而,局部等离子体可能通过在放电时产生的电弧而损坏基板。
因此,需要可用于生产高质量器件和结构的改进系统和方法。本技术解决了这些和其他需求。
发明内容
示例性蚀刻方法可包括对容纳在半导体处理腔室的处理区域中的基板上的金属氧化物层的暴露表面进行改性,以产生金属氧化物的改性部分。所述方法可包括将金属氧化物的改性部分与含氟前驱物接触。所述接触可产生金属氟氧化物材料。所述方法可包括将蚀刻剂前驱物流入处理区域。所述方法可包括使金属氟氧化物材料与蚀刻剂前驱物接触。所述方法可包括移除金属氟氧化物材料。
在一些实施例中,蚀刻剂前驱物可以是或包括含氯前驱物。金属氧化物可以是或包括氧化铪。含氟前驱物可以是或包括氟化氢或等离子体增强的含氟前驱物。等离子体增强的含氟前驱物可在半导体处理腔室的远程等离子体区域中形成。对金属氧化物层的暴露表面进行改性可包括形成含氧前驱物的等离子体,以产生含氧等离子体流出物。对金属氧化物层的暴露表面进行改性可包括将含氧等离子体流出物导向金属氧化物层的暴露表面。等离子体流出物可能导致金属氧化物的部分变成非晶金属氧化物。含氧前驱物的等离子体可在大于或约100W的等离子体功率下在处理区域中形成。在将蚀刻剂前驱物流入处理区域的同时,半导体处理腔室可保持无等离子体。在使金属氟氧化物材料与蚀刻剂前驱物接触之前,可提高半导体处理腔室内的温度。在流动含氟前驱物的同时,半导体处理腔室中的压力可维持在低于或约5托。在将蚀刻剂前驱物流入处理区域的同时,半导体处理腔室中的压力可保持在大于或约15托。
本技术的一些实施例可涵盖蚀刻方法。所述方法可包括对容纳在半导体处理腔室的处理区域中的基板上的含金属材料层的暴露表面进行改性,以产生含金属材料的改性部分。所述方法可包括将第一含卤素前驱物流入半导体处理腔室的远程等离子体区域,同时点燃等离子体以产生等离子体流出物。所述方法可包括将含金属材料的改性部分与等离子体流出物接触。所述接触可产生金属氟化物材料。所述方法可包括将第二含卤素前驱物流入处理区域。所述方法可包括使金属氟化物材料与第二含卤素前驱物接触。所述方法可包括移除金属氟化物材料。
在一些实施例中,第一含卤素前驱物可以是或包括氟。第二含卤素前驱物可以是或包括三氯化硼,含金属材料可以是或包括包含铝、铪、锆或钛的氧化物或氮化物。所述方法可包括在流动第二含卤素前驱物之前停止等离子体形成。可在第一温度下执行含金属材料的改性部分与等离子体流出物的接触。可在高于第一温度的第二温度下执行金属氟化物材料与第二含卤素前驱物的接触。第一含卤素前驱物可以是或包括三氟化氮。所述方法可包括使氢气与第一含卤素前驱物一起流动。氢气的流速可以是第一含卤素前驱物的流速的至少两倍。对含金属材料层的暴露表面进行改性可包括形成含氧前驱物的等离子体以产生含氧等离子体流出物。对含金属材料层的暴露表面进行改性可包括将等离子体流出物导向含金属材料层的暴露表面。
本技术的某些实施例可涵盖蚀刻方法。所述方法可包括形成含氧前驱物的等离子体以产生含氧等离子体流出物。所述方法可包括将含氧等离子体流出物导向容纳在半导体处理腔室的处理区域中的基板上的金属氧化物层,以产生金属氧化物的改性部分。所述方法可包括将含氟前驱物流入半导体处理腔室的远程等离子体区域,同时在远程等离子体区域中点燃等离子体以产生等离子体流出物。所述方法可包括将金属氧化物的改性部分与等离子体流出物接触。所述接触可产生金属氟氧化物材料。所述方法可包括将含氯前驱物流入处理区域。所述方法可包括使金属氟氧化物材料与含氯前驱物接触。所述方法可包括移除金属氟氧化物材料。
与常规系统和技术相比,此类技术可提供诸多优势。例如,所述工艺可允许执行定向干式蚀刻,这可保护基板的特征。此外,相对于基板上的其他暴露的材料,所述工艺可增加含金属膜的蚀刻速率。结合以下描述和附图,更详细地描述了这些和其他实施例以及它们的优点和特征中的许多优点和特征。
附图说明
通过参考说明书和附图的剩余部分,可进一步理解本公开技术的性质和优点。
图1图示了根据本技术的一些实施例的示例性处理系统的一个实施例的俯视平面图。
图2A图示了根据本技术的一些实施例的示例性处理腔室的示意性横截面图。
图2B图示了根据本技术的一些实施例的图2A所示的处理腔室的一部分的详细视图。
图3图示了根据本技术一些实施例的示例性喷头的仰视平面图。
图4图示了根据本技术的一些实施例的方法中的示例性操作。
图5A至图5D图示了根据本技术的一些实施例的经蚀刻材料的示意性横截面图。
附图中的若干附图被包括作为示意图。应当理解,附图是为了说明的目的,并且除非特别说明是按比例的,否则不应认为是按比例的。此外,作为示意图,附图被提供以帮助理解,并且与真实表示相比,可能不包括所有方面或信息,并且可能包括用于说明目的的额外或夸大的材料。
在附图中,相似的部件和/或特征可具有相同的附图标记。此外,相同类型的各种部件可通过在附图标记后的在相似部件之间进行区分的字母来加以区分。若干在说明书中仅使用第一附图标记,则描述适用于具有相同第一附图标记的类似部件中的任何一者,而与字母无关。
具体实施方式
随着对互补金属氧化物半导体材料的缩放变得更具挑战性,二氧化硅通常被高k介电材料取代。然而,诸如过渡金属氧化物或氮化物或其他金属氧化物或氮化物之类的许多高k介电材料可能导致实施上的挑战。例如,在沉积之后,可执行一个或多个移除操作。与其他电介质相比,许多过渡金属材料可由更类似于金属的性质表征,这可能导致移除和回蚀操作更不具有选择性。此外,随着器件结构变得越来越复杂,在随后的处理期间,要移除的材料可能会与许多要维持的额外材料一起被暴露。
金属氧化物正越来越多地被用作高k介电材料,金属氧化物可在保持层厚度的同时提供改善的晶体管性能。随着金属氧化物被更普遍地掺入,对金属氧化物膜的处理开始产生由增加的密度表征的材料层,这可导致集成时的挑战。例如,在回蚀或移除操作期间,更致密的金属氧化物层(诸如先前在处理期间或沉积之后已经退火的层)可能降低蚀刻选择性,这可能增加对基板上周围材料的损伤。对金属氧化物的常规处理可利用各向同性蚀刻工艺,这可能导致对周围材料的暴露增加,并且可能由于导致对其他材料的进一步蚀刻而降低选择性。本技术可通过提供定向移除工艺来增加掺入致密金属氧化物材料的能力,所述定向移除工艺可允许从各种结构中受控地移除金属氧化物,并且可对在移除期间可被遮蔽和/或保持暴露的许多材料具有选择性。
尽管剩余的公开内容将常规地辨识利用本公开技术的特定材料和半导体结构,但将容易理解,系统、方法和材料同样适用于可受益于本技术各方面的许多其他结构。因此,本技术不应被认为仅限于与所描述的工艺或材料一起使用。此外,尽管描述了示例性的腔室来为本技术提供基础,但应当理解,本技术可应用于可允许所述操作的几乎任何半导体处理腔室。
图1图示了根据实施例的沉积、蚀刻、烘焙和固化腔室的处理系统100的一个实施例的俯视平面图。在图中,一对前开式标准舱102供应各种尺寸的基板,所述基板由机器人臂104接收并被放置到低压保持区域106中,随后再被放置到定位在串联部分109a-c中的基板处理腔室108a-f中的一者中。第二机器人臂110可用于将基板晶片从保持区域106传送到基板处理腔室108a-f和返回。每个基板处理腔室108a-f可被配备用于执行多个基板处理操作,包括本文描述的干式蚀刻工艺,以及循环层沉积、原子层沉积、化学气相沉积、物理气相沉积、蚀刻、预清洁、脱气、定向和其他基板工艺。
基板处理腔室108a-f可包括一个或多个系统部件,用于沉积、退火、固化和/或蚀刻基板晶片上的介电膜。在一种配置中,两对处理腔室(例如108c-d和108e-f)可用于在基板上沉积介电材料,并且第三对处理腔室(例如108a-b)可用于蚀刻沉积的电介质。在另一种配置中,所有三对腔室(例如108a-f)可被配置为蚀刻基板上的介电膜。所描述的工艺中的任何一个或多个工艺可在与不同实施例中所示的制造系统分离的(多个)腔室中进行。应理解,系统100设想了用于介电膜的沉积、蚀刻、退火和固化腔室的额外配置。
图2A图示了具有在处理腔室内的分隔的等离子体产生区域的示例性处理腔室系统200的横截面图。在膜蚀刻(例如氮化钛、氮化钽、金属、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等)期间,处理气体可通过气体入口组件205流入第一等离子体区域215中。远程等离子体系统201可以可选地被包括在系统中,并且可处理第一气体,第一气体随后行进穿过气体入口组件205。入口组件205可包括两个或更多个不同的气体供应通道,其中第二通道(未示出)可绕过RPS201(如果包括)。
根据实施例,冷却板203、面板217、离子抑制器223、喷头225以及其上安置有基板255的基座265或基板支撑件被图示并且可各自被包括。基座265可具有热交换通道,热交换流体流经所述热交换通道以控制基板的温度,所述热交换通道可被操作以在处理操作期间加热和/或冷却基板或晶片。可包括铝、陶瓷或其组合的基座265的晶片支撑盘还可被电阻加热,以便使用嵌入式电阻加热元件实现相对高的温度,诸如从高达或约100℃到高于或约1100℃。
面板217可以是棱锥形、圆锥形或另一类似结构,其中窄顶部延伸至宽底部。面板217可另外如图所示是平坦的,并包括用于分配处理气体的多个贯穿通道。取决于RPS201的使用,等离子体产生气体和/或等离子体激发物质可穿过面板217中的多个孔,如图2B所示,以便更均匀地输送到第一等离子体区域215中。
示例性配置可包括使气体入口组件205通向通过面板217与第一等离子体区域215隔开的气体供应区域258,以使气体/物质通过面板217中的孔流入第一等离子体区域215。可选择结构和操作特征来防止等离子体从第一等离子体区域215显著回流到供应区域258、气体入口组件205和流体供应系统210中。面板217或腔室的导电顶部和喷头225被示出为具有位于特征之间的绝缘环220,绝缘环220允许将AC电位相对于喷头225和/或离子抑制器223施加到面板217。绝缘环220可定位在面板217与喷头225和/或离子抑制器223之间,使得电容耦合等离子体能够在第一等离子体区域中形成。挡板(未示出)可额外位于第一等离子体区域215中,或者以其他方式与气体入口组件205耦合,以影响流体通过气体入口组件205流入所述区域。
离子抑制器223可包括板或其他几何形状,所述板或其他几何形状在整个结构中限定了多个孔,所述多个孔被配置为抑制带离子电荷的物质迁移出第一等离子体区域215,同时允许不带电荷的中性或自由基物质穿过离子抑制器223进入抑制器与喷头之间的活化气体输送区域。在实施例中,离子抑制器223可包括具有各种孔径配置的穿孔板。这些不带电的物质可包括高反应性物质,所述高反应性物质通过孔与低活性载气一起传输。如上所述,离子物质通过孔的迁移可被减少,并在一些情况下完全被抑制。控制穿过离子抑制器223的离子物质的量可有利地提供对与底层晶片基板接触的气体混合物的增强控制,这进而可增强对气体混合物的沉积和/或蚀刻特性的控制。例如,调整气体混合物的离子浓度可显著改变其蚀刻选择性,例如SiNx:SiOx蚀刻比、Si:SiOx蚀刻比等。在执行沉积的替代实施例中,还可改变介电材料的保形与可流动型沉积的平衡。
离子抑制器223中的多个孔可配置为控制活化气体(即离子、自由基和/或中性物质)通过离子抑制器223。例如,可控制孔的深宽比、或孔的直径与长度比、和/或孔的几何形状,使得减少通过离子抑制器223的活化气体中的离子带电物质的流动。离子抑制器223中的孔可包括面向等离子体激发区域215的锥形部分和面向喷头225的圆柱形部分。圆柱形部分可以被形状和尺寸设计成控制离子物质传至喷头225的流动。可调节的电偏压还可施加到离子抑制器223,作为控制离子物质穿过抑制器的流动的额外手段。
离子抑制器223可用于减少或消除从等离子体产生区域向基板行进的离子带电物质的量。不带电的中性和自由基物质仍然可通过离子抑制器中的开口以与基板反应。应当注意,在实施例中,可能不执行对在围绕基板的反应区域中的离子带电物质的完全消除。在某些情况下,离子物质旨在到达基板,以便执行蚀刻和/或沉积工艺。在这些情况下,离子抑制器可协助将反应区域中的离子物质的浓度控制在有助于工艺的水平。
与离子抑制器223组合的喷头225可允许第一等离子体区域215中存在的等离子体避免直接激发基板处理区域233中的气体,同时仍允许受激发物质从腔室等离子体区域215进入基板处理区域233。以这种方式,腔室可被配置成防止等离子体接触正在被蚀刻的基板255。这可有利地保护基板上图案化的各种复杂结构和膜,如果被产生的等离子体直接接触,这些结构和膜可能被损坏、错位或在其他情况下翘曲。此外,当允许等离子体接触基板或接近基板级时,氧化物物质蚀刻的速率会增加。因此,如果材料的暴露区域是氧化物,则可通过保持等离子体远离基板来进一步保护此材料。
处理系统可进一步包括与处理腔室电连接的电源240,以向面板217、离子抑制器223、喷头225和/或基座265提供电功率,从而在第一等离子体区域215或处理区域233中产生等离子体。电源可被配置为取决于所执行的工艺而向腔室输送可调节的功率量。这样的配置可允许在正在执行的工艺中使用可调谐等离子体。不同于通常具有开或关功能的远程等离子体单元,可调谐等离子体可被配置为向等离子体区域215输送特定量的功率。这进而可允许发展特定的等离子体特性,使得前驱物可以特定的方式离解,以增强由这些前驱物产生的蚀刻轮廓。
可在喷头225上方的腔室等离子体区域215或喷头225下方的基板处理区域233中点燃等离子体。等离子体可存在于腔室等离子体区域215中,以从例如含氟前驱物或其他前驱物的流入中产生自由基前驱物。通常在射频(“RF”)范围内的AC电压可施加在处理腔室的导电顶部(诸如面板217)与喷头225和/或离子抑制器223之间,以在沉积期间点燃腔室等离子体区域215中的等离子体。RF电源可产生13.56MHz的高RF频率,但是还可单独地或者与13.56MHz频率组合地产生其他频率。
图2B图示了影响穿过面板217的处理气体分布的特征的详细视图253。如图2A和图2B所示,面板217、冷却板203和气体入口组件205相交以限定气体供应区域258,处理气体可从气体入口205输送到气体供应区域258中。气体可填充气体供应区域258,并穿过面板217中的孔259流向第一等离子体区域215。孔259可被配置成以基本上单向方式引导流动,使得处理气体可流入处理区域233,但在处理气体横穿面板217之后,可部分或完全防止处理气体回流到气体供应区域258中。
用于在处理腔室部分200中使用的气体分配组件(诸如喷头225)可被称为双通道喷头,并在图3所述的实施例中额外详细说明。双通道喷头可提供允许在处理区域233外部分离蚀刻剂的蚀刻工艺,以在蚀刻剂被输送到处理区域之前提供蚀刻剂与腔室部件和蚀刻剂彼此之间的有限相互作用。
喷头225可包括上板214和下板216。这些板可彼此耦合以在板之间限定容积218。板的耦合可提供穿过上板和下板的第一流体通道219,以及穿过下板216的第二流体通道221。所形成的通道可被配置为仅经由第二流体通道221提供从容积218穿过下板216的流体通路,而第一流体通道219可以与在板和第二流体通道221之间的容积218流体隔离。可通过喷头225的一侧流体进出容积218。
图3为根据实施例的用于与处理腔室一起使用的喷头325的仰视图。喷头325可对应于图2A所示的喷头225。示出了第一流体通道219视图的通孔365可具有多个形状和配置,以便控制和影响前驱物穿过喷头225的流动。示出第二流体通道221视图的小孔375可基本上均匀地分布在喷头的表面上,甚至在通孔365之间,并且可有助于在前驱物离开喷头时提供比其他配置更均匀的混合。
先前讨论的腔室可用于执行示例性方法,包括蚀刻方法。转到图4,示出了根据本技术的实施例的方法400中的示例性操作。方法400可包括在方法开始之前的一个或多个操作,包括前端处理、沉积、蚀刻、抛光、清洁或可在所述操作之前执行的任何其他操作。方法可包括多个可选操作,这些可选操作可以与或可以不与根据本技术的方法的一些实施例具体相关联。例如,操作中的许多操作被描述,以便提供所执行的工艺的更广泛范围,但是对于本技术而言并不重要,或者可通过下文将进一步讨论的替代方法来执行。方法400可描述图5A至图5D中示意性示出的操作,将结合方法400的操作来描述图5A至图5D的图示。应理解,附图仅示出了局部示意图,并且基板可包含任何数量的额外材料和特征,具有如图所示的各种特性和方面。
方法400可包括或不包括将半导体结构发展为特定制造操作的可选操作。应当理解,方法400可在任何数量的半导体结构或基板505上执行,如图5A所示,包括可在其上执行金属氧化物移除操作的示例性结构。示例性半导体结构可包括沟槽、通孔或可包括一种或多种暴露的材料的其他凹陷特征。例如,示例性基板可包含硅或一些其他半导体基板材料以及层间介电材料,通过层间介电材料可形成凹槽、沟槽、通孔或隔离结构。在蚀刻工艺期间的任何时间暴露的材料可以是或包括金属材料、一种或多种介电材料、接触材料、晶体管材料或可在半导体工艺中使用的任何其他材料。
例如,图5A可示出限定一个或多个沟槽或凹槽的基板结构,所述沟槽或凹槽中可设置金属氧化物510材料。基板505可示出覆盖在基板上的一个或多个其他结构上的介电材料,并且应当理解,可在所示结构下形成任何数量的材料。在一些实施例中,介电材料可以是或包括氧化硅、或可穿过其发生图案化的任何其他氧化物或氮化物。尽管仅示出了包括金属氧化物的两个凹槽,但是应当理解,可形成任意数量的凹槽和实例,诸如以产生各种待处理的图案或结构。尽管剩余的公开内容将参考金属氧化物,但是应当理解,本技术可涵盖许多金属氧化物和/或金属氮化物膜。例如,金属氧化物可包括一种或多种过渡金属,诸如铪、锆、钛,以及其他金属,诸如铝。材料可包括组合化合物,诸如铪-锆氧化物,以及这些材料中任一者的氮化物。因此,本技术并不旨在限于任何特定的金属氧化物或金属氮化物,但所提到的化合物可能被本技术具体涵盖。
本技术的实施例可涉及执行受控且各向异性的蚀刻工艺,以移除金属氧化物材料,同时保持基板周围的基板结构。尽管可包括掩模材料515,但是金属氧化物的常规各向同性移除可能导致基板中的底切,所述基板也可以是氧化物材料或其他介电材料或含硅材料。本技术可通过控制移除工艺来限制或阻止各向同性移除,以仅移除经改性或损坏的材料,如下文将描述。因此,被蚀刻的结构可由或多或少的底切来限定,并且被暴露的材料可被专门蚀刻在被暴露的垂直路径中。在本技术涵盖的一些实施例中,蚀刻工艺可执行基本上或完全各向异性的凹槽。
应理解,所述结构并非旨在进行限制,并且包括含金属材料或其他含金属材料的各种其他半导体结构中的任何一者也被类似地涵盖。其他示例性结构可包括半导体制造中常见的二维和三维结构,并且在所述结构中,要相对于一种或多种其他材料移除诸如金属氧化物之类的含金属材料,因为本技术可相对于其他暴露的材料(诸如含硅材料)和别处讨论的其他材料中的任一者选择性地移除含金属材料。此外,尽管高深宽比结构可受益于本技术,但是本技术同样适用于较低深宽比和任何其他结构。
在实施例中,可执行方法400以移除暴露的含金属材料,但在本技术的实施例中,可在任何数量的结构中移除任何数量的氧化物或含金属材料。方法可包括移除金属氧化物的特定操作。尽管剩余的公开内容将常规地讨论金属氧化物,但是应当理解,可类似地由本技术的一些实施例处理其他金属氧化物。在一些实施例中,方法可包括多操作蚀刻工艺,所述多操作蚀刻工艺可控制对金属氧化物相对于其他暴露材料的蚀刻,所述其他暴露材料诸如介电材料(例如氧化硅)和任何下层材料,所述下层材料可包括结构中使用的介电或导电材料。
方法400可包括在可选操作405中,在半导体处理腔室的处理区域内形成等离子体。如上文所讨论,在操作405之前,基板可能已经定位在腔室内。仅出于说明目的参考腔室200,可在区域233中形成或产生等离子体,或者在至少部分由基板支撑基座限定的区域中形成或产生等离子体。此类等离子体可类似地理解为晶片级等离子体。等离子体的流出物可在方法400中用于在操作410中对半导体基板上的暴露材料表面进行改性,诸如上文讨论的金属氧化物材料510。基板可以在半导体处理腔室的处理区域内或被容纳在半导体处理腔室的处理区域中。
方法400的改性操作可允许对金属氧化物的受控轰击,并可使金属氧化物结构被非晶化至结构内的一定深度,所述金属氧化物结构可包括先前经退火并且更致密的氧化物结构。所产生的等离子体可以是或包括偏压等离子体,所述偏压等离子体可在足以轰击膜并破坏结构内的键的功率下形成。因为可定向地执行改性操作,所以等离子体流出物可只接触掩模材料515和金属氧化物材料510,如图5B所示,其中在等离子体中产生的氧和/或其他离子520可以相对直的路径被引导到基板。因此,在移除金属氧化物的工艺操作期间,底层基板505、包括在后续循环期间可能暴露的侧壁,可得到保护。
改性操作410可涉及含氧气体以及一种或多种其他气体,这可包括双原子氢或惰性气体,诸如氦、氖、氩、氪、氙或氡。用于产生等离子体的材料还可以是额外的材料或前驱物,这些材料或前驱物可具有有限的化学活性或者不与被改性的半导体表面上的暴露材料反应。通过利用含氧气体,诸如双原子氧、一氧化二氮、水、臭氧或其他含氧材料,材料键可在膜内断裂或非晶化至穿透深度,这可基于偏压功率来控制。氧自由基还可与膜中的氧反应并去除膜中的氧,这可在改性操作期间产生更多孔结构。可随一种或多种含氧材料一起提供额外的气体,诸如氢气和/或氦气,这可影响改性或破坏操作的各个方面。例如,氢是一种小而轻的材料,与较重的材料相比,氢不太可能溅射所导向的材料,并且氢可在膜内提供更深的穿透。由于氦是较重的材料,氦的加入可能会加剧膜中键的断裂和氧的释放。除了使膜非晶化之外,剩余的材料还可以更加多孔,从而有利于后续步骤中的体积膨胀。材料还可以更具反应性,在改性期间会产生更多的悬挂键。
工艺条件还可促进改性操作。例如,由氧气形成的等离子体可以是向基板提供等离子体流出物的定向流的偏压等离子体。等离子体可以是低水平等离子体,以限制轰击、溅射和表面改性的量。在实施例中,等离子体功率可以是小于或约500W,并且可以是小于或约450W、小于或约400W、小于或约350W、小于或约300W、小于或约250W、小于或约200W或更小。通过利用例如约300W或更小的等离子体功率,可控制等离子体流出物的穿透深度,以限制侧壁相互作用或对底层结构的损伤。例如,如上所述的改性操作可允许半导体基板上的暴露材料的表面被改性到与半导体基板内的暴露表面相距小于或约20纳米的深度,并且可允许材料的表面被改性到小于或约18nm、小于或约16nm、小于或约14nm、小于或约12nm、小于或约10nm、小于或约9nm、小于或约8nm、小于或约7nm、小于或约6nm、小于或约5nm或更小的深度。
改性操作可对温度相对或完全不敏感,并且在实施例中,温度可维持在下文针对等离子体操作所讨论的温度中的任一者。还可在改性操作410期间控制处理腔室内的压力。例如,当形成惰性等离子体并执行改性操作时,处理腔室内的压力可保持在低于或约1托。此外,在一些实施例中,处理腔室内的压力可维持在低于或约750毫托、低于或约600毫托、低于或约500毫托、低于或约400毫托、低于或约300毫托、低于或约250毫托或更低。腔室内的压力可通过影响所产生的自由基材料的平均自由程来影响改性操作410的方向性。例如,随着压力增大,由于可能导致散射的碰撞增加,改性工艺可能变得更加各向同性,并且随着压力降低,改性工艺可能随着平均自由程增加而变得更加各向异性,从而允许改善由偏压提供的方向性。因此,随着压力的增加,底层材料也可开始被处理,在底层材料的移除之后可能移除超出某些操作中最初期望的材料。
在对金属氧化物膜的表面改性后,可执行移除工艺,所述移除工艺可包括两部分移除。方法400可包括将包括第一含卤素前驱物的含卤素前驱物流入容纳所述基板的半导体处理腔室。在一些实施例中,诸如在可选操作415中,含卤素前驱物可以是等离子体增强的。含卤素前驱物可流经处理腔室的远程等离子体区域,诸如上述的区域215,并且等离子体可由含卤素前驱物形成,以产生等离子体流出物。尽管可产生基板级等离子体,但是在一些实施例中,等离子体可为远程等离子体,这可保护暴露的基板材料免受由于基板级等离子体而可能发生的离子轰击。当形成等离子体时,电容耦合等离子体或其他形成的等离子体的等离子体功率可保持在或低于前述的等离子体功率水平中的任一者。此外,在一些实施例中,第一含卤素前驱物可不被等离子体增强,诸如利用氟化氢,氟化氢可直接流入腔室的处理区域。
无论是否经等离子体增强,在操作420中,含卤素前驱物或第一含卤素前驱物的等离子体流出物可输送至基板处理区域,在基板处理区域中,流出物可在操作425中接触包括受损或非晶化的含金属材料的半导体基板,如图5C所示。氟材料525可以是氟离子以及额外的离子,诸如下文将要提到的氢离子,氟材料525可流到处理区域以与基板相互作用。由于金属氧化物材料的非晶化部分的多孔性质,氟自由基或材料可掺入多孔或受损结构中,并且可扩散穿过结构到所执行的改性水平。非晶结构可更容易接收氟材料,这可导致金属氧化物材料的改性部分的体积膨胀。所述接触可诸如通过转化基板上暴露的金属氧化物而产生氟化材料,诸如金属氟氧化物或金属氧化物卤化物材料。在一些实施例中,在氟化之后,可熄灭等离子体,并且可净化腔室。因为金属氟氧化物材料在腔室操作条件下可能不是挥发性的,所以可执行额外的操作来使非晶化和氟化部分被移除。此外,因为氟化可能不会穿透底层的金属氧化物或金属氧化物的未改性部分,所以移除的量可能受限于改性材料的深度。
在氟化操作之后,蚀刻剂前驱物可在操作430中流入处理区域。在一些实施例中,蚀刻剂前驱物可以是第二含卤素前驱物,并且可包括与第一含卤素前驱物相同或不同的卤素。蚀刻剂前驱物可与氟化金属氧化物或其他氧化物材料相互作用,以产生金属副产物和/或氧副产物,这些副产物在处理条件下可能是挥发性的,并且可能从基板中析出。因此,在操作435中,蚀刻剂前驱物可接触氟化材料,并与材料中的氟进行配位体交换,并且这可产生可从基板释放的挥发性副产物。通过执行根据本技术的实施例的两个移除操作,可执行对改性材料的受控移除,这可保持底层材料以及基板材料。如图5D所示,可输送第二卤素前驱物530,并且第二卤素前驱物530可与氟化金属氧化物形成配位体交换。因此,可包括金属氯化物、金属氧氯化物或含有金属、氧、氟、氢和氯中的一者或多者的其他材料的金属副产物535可能从基板释放,这可能暴露底层的金属氧化物或基板材料。所述方法可重复循环任意次,以继续移除离散层中的金属氧化物。根据本技术的实施例,这可产生基于改性深度的受控移除,这可允许从基板移除一些或所有形成的金属氧化物材料。
尽管第二卤素前驱物也可经等离子体增强,但在一些实施例中,第二前驱物可未经等离子体增强,并且在一些实施例中,在利用第二卤素前驱物的输送和操作期间,半导体处理腔室可保持无等离子体。通过利用特定的前驱物,并在某些工艺条件下执行蚀刻,可进行无等离子体的移除,并且所述移除还可以是干式蚀刻。因此,可执行根据本技术的各方面的技术,以从任何数量的特征中移除金属氧化物,所述特征包括高深宽比特征和原本可能不适于湿式蚀刻或反应性离子蚀刻的薄尺寸。
在两步移除操作的每一步期间,前驱物可包括含卤素的前驱物,并且在一些实施例中,可包括氟或氯中的一种或多种。可用作第一前驱物的一些示例性前驱物可包括卤化物,包括氟化氢、三氟化氮或任何有机氟化物。前驱物还可以各种组合一起流动。在一些实施例中,在第一操作中,三氟化氮或一些其他含氟前驱物可被输送至具有氢和等离子体增强的远程等离子体区域,以产生金属氧化物的氟化表面。用作第二卤素前驱物的蚀刻剂前驱物可以是或包括含氯前驱物,诸如包括三氯化硼、四氯化钛或任何其他含氯材料。此外,在一些实施例中,诸如在本地或远程执行的等离子体工艺中,可产生氯自由基材料,并且等离子体工艺可输送氯自由基材料以与金属氧化物的氟化部分相互作用。在本技术的处理条件下,这些含氯材料可促进挥发性副产物的形成,所述挥发性副产物可移除氟化金属氧化物。例如,一些副产物可能包括金属氯氧化物或金属氯化物,所述金属氯氧化物或金属氯化物在处理条件下可能是挥发性的,有助于从基板上移除材料。
根据本技术,处理条件可影响并促进蚀刻。因为蚀刻反应可基于用于第二卤素前驱物与氟化氧化物材料之间的第二反应的卤素的热离解而进行,所以温度可至少部分取决于特定的卤素或前驱物以引发离解。例如,当温度升高到高于或约100℃或者高于或约150℃时,蚀刻可能开始发生或增加,这可能指示前驱物的离解,和/或与金属氟氧化物的反应活化。随着温度继续升高,离解可能被进一步促进,与氟化金属氧化物的反应也可能被进一步促进。
因此,在本技术的一些实施例中,可在高于或约100℃的基板、基座和/或腔室温度下执行蚀刻方法,并且可在高于或约150℃、高于或约200℃、高于或约250℃、高于或约300℃、高于或约350℃、高于或约400℃、高于或约450℃或更高的温度下执行蚀刻方法。温度还可保持在这些范围内、这些范围所涵盖的更小范围内、或这些范围中的任一者之间的任何温度。在一些实施例中,方法可在可具有多个所形成特征的基板上执行,这可产生热预算。此外,第一蚀刻操作(诸如包括含氟前驱物)可在比第二蚀刻操作温度更低的温度下进行。例如,在输送氟材料期间,基板温度可保持在小于或约300℃,并且可保持在小于或约250℃、小于或约200℃、小于或约150℃、小于或约100℃或更低,这可限制对基板上其他材料的反应性蚀刻,同时允许在金属氧化物的非晶化区域中发生氟化。随后可将温度升高到上述用于第二操作的温度范围中的任一者,诸如高于或约300℃,或者可将基板转移到保持在较高温度的第二腔室中用于氯化操作。
腔室内的压力也可影响所执行的操作,以及影响卤素在何种温度下可从过渡金属中解离以进行第二次移除操作。为了促进可基于等离子体增强前驱物的氟化,处理压力可低于第二移除操作中的压力,在一些实施例中,第二移除操作可基于热。通过在第一操作中保持较低的压力,诸如在使用第一卤素前驱物期间保持较低的压力,可促进基板表面处的增加的相互作用。如上文所讨论,在方法的第一部分期间的较低压力可增加原子之间的平均自由程,并且这可增加膜表面处的能量和相互作用。通过在方法的第二部分中利用更高的压力,诸如在使用第二卤素前驱物期间利用更高的压力,可提高蚀刻速率,但在一些实施例中,可在第二移除操作之前保持或降低压力,以及保持或降低至别处提到的压力中的任一者。因此,在一些实施例中,在蚀刻的第一部分期间,诸如在操作405-415期间,压力可保持在低于约20托,并且压力可保持在低于或约15托、低于或约10托、低于或约9托、低于或约8托、低于或约7托、低于或约6托、低于或约5托、低于或约4托、低于或约3托、低于或约2托、低于或约1托、低于或约0.5托或更小。
随后,在方法的第二部分期间,诸如在操作420-425期间,可保持、降低或增加压力。当压力增加时,压力可保持在大于或约1托的压力,并可保持在大于或约5托、大于或约10托、大于或约15托、大于或约20托、大于或约25托、大于或约30托、大于或约35托、大于或约40托、大于或约45托、大于或约50托、大于或约75托、大于或约100托,或更高,压力可延伸至高达大气压,但在一些实施例中真空条件可有利于操作。压力还可保持在这些范围内、这些范围所涵盖的较小范围内或这些范围中的任一者之间的任何压力。
如前所述,在一些实施例中,在第一移除操作期间,氢可与三氟化氮或第一卤素前驱物一起输送。通过包含氢,可降低或抑制氟化期间氟对材料的蚀刻速率。为了保护邻近待移除的金属氟化物的底层材料,可以比第一含卤素前驱物流速更大的流速输送氢气。例如,在第一含卤素前驱物可以是三氟化氮的一些实施例中,氢气与三氟化氮的流速比可以是大于或约1.5:1,并且氢气与三氟化氮的流速比可以是大于或约2.0:1、大于或约2.5:1、大于或约3.0:1、大于或约3.5:1、大于或约4.0:1、大于或约4.5:1、大于或约5.0:1、大于或约10.0:1,或更大。氢自由基可帮助钝化其他暴露的材料,同时氟与特征内的非晶化金属氧化物材料相互作用。
通过利用根据本技术实施例的材料,可执行对金属氧化物的受控和定向移除。执行高度定向的改性操作可允许受控的移除操作,所述移除操作可以相对于基板上的其他材料对非晶化材料是选择性的。前文讨论的方法可允许相对于多种其他暴露的材料移除金属氧化物或其他氧化物材料。通过利用如前所述的多前驱物蚀刻剂工艺,可执行对金属氧化物的改进蚀刻,这可提高相对于常规技术的选择性,以及改进小节距特征中的蚀刻通道。
在前述说明中,出于解释目的,阐述了许多细节,以提供对本技术各种实施例的理解。然而,对于本领域技术人员将显而易见的是,可在没有这些细节中的一些或者具有额外细节的情况下实施某些实施例。
已公开数个实施例,本领域技术人员将认识到,在不背离实施例精神的情况下,可使用各种修改、替代构造和等同物。此外,为了避免不必要地模糊本技术,没有描述许多公知的工艺和元件。因此,以上描述不应被视为限制本技术的范围。此外,可将方法或工艺描述为顺序的或分步骤的,但是应当理解,所述操作可同时执行,或者以与本文列出的顺序不同的顺序执行。
在提供值的范围的情况下,应理解,除非上下文另有明确规定,否则此范围的上限和下限之间的每个中间值,直到下限单位的最小分数也被具体公开。在阐明范围内的任何阐明值或未阐明的中间值和此阐明范围内的任何其他阐明值或中间值之间的任何较窄范围也被涵盖。那些较小范围的上限和下限可独立地被包括或排除在范围内,并且限值中的任一者、一者都不或两者都被包括在较小范围内的每个范围也被涵盖在本技术内,受限于阐明范围内任何具体排除的限值。当阐明范围包括限值中的一者或两者时,排除那些所包括的限值中的任一者或两者的范围也被包括。
如本文和所附权利要求书中所用,除非上下文另有明确规定,否则单数形式“一(a)”、“一个(an)”和“所述”包括复数引用。因此,例如,对“一前驱物”的引用包括多个此类前驱物,对“所述层”的引用包括对本领域技术人员已知的一个或多个层及其等同物的引用,以此类推。
此外,当在本说明书和所附权利要求书中使用时,词语“包括(comprise)”、“包括(comprising)”、“包含(contain)”、“包含(containing)”、“包括(include)”和“包括(including)”旨在指定所阐明特征、整数、部件或操作的存在,但不排除一个或多个其他特征、整数、部件、操作、动作或群组的存在或添加。

Claims (20)

1.一种蚀刻方法,包括以下步骤:
对容纳在半导体处理腔室的处理区域中的基板上的金属氧化物层的暴露表面进行改性,以产生金属氧化物的改性部分;
将金属氧化物的所述改性部分与含氟前驱物接触,其中所述接触产生金属氟氧化物材料;
将蚀刻剂前驱物流入所述处理区域;
使所述金属氟氧化物材料与所述蚀刻剂前驱物接触;以及
移除所述金属氟氧化物材料。
2.如权利要求1所述的蚀刻方法,其中所述蚀刻剂前驱物包括含氯前驱物,并且其中所述金属氧化物包括氧化铪。
3.如权利要求1所述的蚀刻方法,其中所述含氟前驱物包括氟化氢或等离子体增强的含氟前驱物。
4.如权利要求3所述的蚀刻方法,其中所述等离子体增强的含氟前驱物形成在所述半导体处理腔室的远程等离子体区域中。
5.如权利要求1所述的蚀刻方法,其中对所述金属氧化物层的所述暴露表面进行改性的步骤包括以下步骤:
形成含氧前驱物的等离子体以产生含氧等离子体流出物,以及
将所述含氧等离子体流出物导向所述金属氧化物层的所述暴露表面。
6.如权利要求5所述的蚀刻方法,其中所述等离子体流出物使金属氧化物的部分变成非晶金属氧化物。
7.如权利要求5所述的蚀刻方法,其中在大于或约100W的等离子体功率下在所述处理区域中形成所述含氧前驱物的所述等离子体。
8.如权利要求1所述的蚀刻方法,其中在所述蚀刻剂前驱物流入所述处理区域的同时,所述半导体处理腔室保持无等离子体。
9.如权利要求1所述的蚀刻方法,其中在使所述金属氟氧化物材料与所述蚀刻剂前驱物接触之前,提高所述半导体处理腔室内的温度。
10.如权利要求1所述的蚀刻方法,其中在流动所述含氟前驱物的同时,所述半导体处理腔室中的压力保持在低于或约5托。
11.如权利要求10所述的蚀刻方法,其中在将所述蚀刻剂前驱物流入所述处理区域的同时,所述半导体处理腔室中的压力保持在高于或约15托。
12.一种蚀刻方法,包括以下步骤:
对容纳在半导体处理腔室的处理区域中的基板上的含金属材料层的暴露表面进行改性,以产生含金属材料的改性部分;
将第一含卤素前驱物流入所述半导体处理腔室的远程等离子体区域,同时点燃等离子体以产生等离子体流出物;
将含金属材料的所述改性部分与所述等离子体流出物接触,其中所述接触产生金属氟化物材料;
将第二含卤素前驱物流入所述处理区域;
使所述金属氟化物材料与所述第二含卤素前驱物接触;以及
移除所述金属氟化物材料。
13.如权利要求12所述的蚀刻方法,其中所述第一含卤素前驱物包括氟,其中所述第二含卤素前驱物包括三氯化硼,并且其中所述含金属材料包括氧化物或氮化物,所述氧化物或氮化物包括铝、铪、锆或钛。
14.如权利要求12所述的蚀刻方法,进一步包括以下步骤:在流动所述第二含卤素前驱物之前停止等离子体形成。
15.如权利要求12所述的蚀刻方法,其中使含金属材料的所述改性部分与所述等离子体流出物接触的步骤是在第一温度下执行的。
16.如权利要求15所述的蚀刻方法,其中使所述金属氟化物材料与所述第二含卤素前驱物接触是在比所述第一温度更高的第二温度下执行的。
17.如权利要求12所述的蚀刻方法,其中所述第一含卤素前驱物包括三氟化氮,所述方法进一步包括以下步骤:
使氢气与所述第一含卤素前驱物一起流动。
18.如权利要求17所述的蚀刻方法,其中所述氢气的流速是所述第一含卤素前驱物的流速的至少两倍。
19.如权利要求12所述的蚀刻方法,其中对所述含金属材料层的所述暴露表面进行改性的步骤包括以下步骤:
形成含氧前驱物的等离子体以产生含氧等离子体流出物,以及
将所述等离子体流出物导向所述含金属材料层的所述暴露表面。
20.一种蚀刻方法,包括以下步骤:
形成含氧前驱物的等离子体以产生含氧等离子体流出物;
将所述含氧等离子体流出物导向容纳在半导体处理腔室的处理区域中的基板上的金属氧化物层,以产生金属氧化物的改性部分;
将含氟前驱物流入所述半导体处理腔室的远程等离子体区域,同时在所述远程等离子体区域中点燃等离子体以产生等离子体流出物;
将金属氧化物的所述改性部分与所述等离子体流出物接触,其中所述接触产生金属氟氧化物材料;
将含氯前驱物流入所述处理区域;
使所述金属氟氧化物材料与所述含氯前驱物接触;以及
移除所述金属氟氧化物材料。
CN202280048755.1A 2021-07-15 2022-06-16 金属氧化物定向移除 Pending CN117678057A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/376,337 2021-07-15
US17/376,337 US20230015080A1 (en) 2021-07-15 2021-07-15 Metal oxide directional removal
PCT/US2022/033846 WO2023287545A1 (en) 2021-07-15 2022-06-16 Metal oxide directional removal

Publications (1)

Publication Number Publication Date
CN117678057A true CN117678057A (zh) 2024-03-08

Family

ID=84890961

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280048755.1A Pending CN117678057A (zh) 2021-07-15 2022-06-16 金属氧化物定向移除

Country Status (5)

Country Link
US (1) US20230015080A1 (zh)
KR (1) KR20240027850A (zh)
CN (1) CN117678057A (zh)
TW (1) TW202314829A (zh)
WO (1) WO2023287545A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US20070251939A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Control scheme for cold wafer compensation on a lithography track
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP2014049466A (ja) * 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10208383B2 (en) * 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
KR102490700B1 (ko) * 2017-03-27 2023-01-26 주식회사 히타치하이테크 플라스마 처리 방법
JP6845773B2 (ja) * 2017-09-15 2021-03-24 株式会社日立ハイテク プラズマ処理方法
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR20210105439A (ko) * 2019-01-15 2021-08-26 램 리써치 코포레이션 금속-프리 리간드들을 사용하는 금속 원자 층 에칭 및 증착 장치들과 프로세스들
US11574813B2 (en) * 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11626326B2 (en) * 2020-04-28 2023-04-11 Taiwan Semiconductor Manufacturing Company Limited Interconnect structures for semiconductor devices and methods of manufacturing the same
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Also Published As

Publication number Publication date
KR20240027850A (ko) 2024-03-04
US20230015080A1 (en) 2023-01-19
WO2023287545A1 (en) 2023-01-19
TW202314829A (zh) 2023-04-01

Similar Documents

Publication Publication Date Title
US10566206B2 (en) Systems and methods for anisotropic material breakthrough
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
TW201826386A (zh) 用於高深寬比結構之移除方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
CN117678057A (zh) 金属氧化物定向移除
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
TWI785783B (zh) 用於選擇性金屬化合物移除之系統及方法
TW202301460A (zh) 高深寬比特徵中的金屬蝕刻
US10256112B1 (en) Selective tungsten removal
US11328909B2 (en) Chamber conditioning and removal processes
TWI829231B (zh) 過渡金屬氮化材料的選擇性移除
TWI804054B (zh) 用於移除含鎢膜的系統及方法
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
JP2024525764A (ja) 金属酸化物指向性除去
TWI837647B (zh) 含釕材料的選擇性移除法
TW201903834A (zh) 自對準觸點與閘極處理流程
TW202247283A (zh) 含釕材料的選擇性移除法
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination