TW202301460A - 高深寬比特徵中的金屬蝕刻 - Google Patents

高深寬比特徵中的金屬蝕刻 Download PDF

Info

Publication number
TW202301460A
TW202301460A TW111116187A TW111116187A TW202301460A TW 202301460 A TW202301460 A TW 202301460A TW 111116187 A TW111116187 A TW 111116187A TW 111116187 A TW111116187 A TW 111116187A TW 202301460 A TW202301460 A TW 202301460A
Authority
TW
Taiwan
Prior art keywords
containing precursor
fluorine
plasma
secondary gas
etching
Prior art date
Application number
TW111116187A
Other languages
English (en)
Other versions
TWI817471B (zh
Inventor
王柏瑋
小林 陳
洛韓普力葛魯 雷迪
奧利佛 貞
振江 崔
安川 王
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202301460A publication Critical patent/TW202301460A/zh
Application granted granted Critical
Publication of TWI817471B publication Critical patent/TWI817471B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

蝕刻的示例性方法可包括將含氟前驅物及二次氣體流動至半導體處理腔室的處理區域中。二次氣體可為或包括氧氣或氮氣。含氟前驅物與二次氣體的流動速率比可為大於或約1:1。該等方法可包括將基板與含氟前驅物及二次氣體接觸。基板可包括經暴露的金屬。基板可界定高深寬比特徵。該等方法可包括蝕刻在高深寬比結構之內的經暴露金屬。

Description

高深寬比特徵中的金屬蝕刻
本申請案主張申請於2021年5月4日,並且標題為「METAL ETCH IN HIGH ASPECT-RATIO FEATURES」的美國非臨時申請案第17/307,636號的益處及優先權,該申請案之內容以引用之方式為了所有目的全部併入本文。
本技術係關於半導體製程及設備。更特定言之,本技術係關於高深寬比特徵之內的蝕刻。
積體電路藉由在基板表面上產生複雜圖案化材料層的製程成為可能。在基板上產生圖案化材料需要用於移除暴露材料的可控方法。化學蝕刻可用於各種目的,該等目的包括將光阻劑中的圖案轉移至下層中、將層薄化,或將已存在於表面上的特徵的橫向尺寸薄化。通常期望有一種蝕刻一材料比另一材料更快的蝕刻製程,以促進例如圖案轉移製程。據稱,該蝕刻製程對第一材料具有選擇性。由於材料、電路及製程的多樣性,已開發對各種材料具有選擇性的蝕刻製程。
基於在製程中使用的材料,蝕刻製程可被稱為濕式或乾式的。濕式HF蝕刻相對於其他介電質及材料優先地移除氧化矽。然而,濕式製程在穿透某些受限的溝槽時可能具有困難,並且亦可能有時將剩餘的材料變形。在基板處理區域之內形成的本端電漿中產生的乾式蝕刻可穿透更多受限的溝槽,並且表現出精細剩餘結構的較小變形。然而,本端電漿可在其放電時經由產生電弧而損壞基板。
因此,需要可用於產生高品質裝置及結構的改良的系統及方法。本技術解決了該等及其他需要。
蝕刻的示例性方法可包括將含氟前驅物及二次氣體流動至半導體處理腔室的處理區域中。二次氣體可為或包括氧氣或氮氣。含氟前驅物與二次氣體的流動速率比可為大於或約1:1。該等方法可包括將基板與含氟前驅物及二次氣體接觸。基板可包括經暴露的金屬。基板可界定高深寬比結構。該等方法可包括蝕刻在高深寬比結構之內的暴露金屬。
在一些實施例中,該方法可包括形成含氟前驅物及二次氣體的電漿。處理區域之內的溫度可維持在小於或約500℃的溫度下。高深寬比結構可為或包括在三維反及(three-dimension NAND; 3D NAND)結構中的記憶體孔。經暴露金屬可側向延伸至垂直於記憶體孔形成的凹槽中。該等方法可包括,在蝕刻經暴露金屬之後,淨化半導體處理腔室的處理區域。該等方法可包括形成含氧前驅物的電漿。該等方法可包括將經暴露金屬與含氧前驅物的電漿流出物接觸以產生氧化金屬。含氟前驅物可為第一含氟前驅物。該等方法可包括將第二含氟前驅物流入處理區域中。該等方法可包括將氧化金屬與第二含氟前驅物接觸。當將氧化金屬與第二含氟前驅物接觸時,處理區域可保持為無電漿。該方法可在約1托和約50托之間的腔室操作壓力下執行。該方法可包括,在蝕刻經暴露金屬之後,將含氯前驅物流入處理區域中。含氯前驅物可清除殘留的氟。在隨後蝕刻高深寬比結構內的經暴露金屬後,頂部至底部負載值可小於或約為1.5。
本技術的一些實施例可涵蓋蝕刻的方法。該方法可包括將第一含氟前驅物及二次氣體流動至半導體處理腔室的處理區域中。該等方法可包括將基板與第一含氟前驅物及二次氣體接觸。基板可包括經暴露的金屬。基板可界定三維反及結構中的記憶體孔。經暴露金屬可側向延伸至垂直於記憶體孔形成的凹槽中。該等方法可包括蝕刻在記憶體孔之內的暴露金屬。該等方法可包括形成含氧前驅物的電漿。該等方法可包括將經暴露金屬與含氧前驅物的電漿流出物接觸以產生氧化金屬。該等方法可包括將第二含氟前驅物流動至半導體處理腔室的處理區域中。該等方法可包括移除氧化金屬。
在一些實施例中,二次氣體可包括氧氣或氮氣。第一含氟前驅物與二次氣體的流動速率比可為大於或約1:1。該等方法可包括形成第一含氟前驅物及二次氣體的電漿。半導體處理腔室內的溫度可保持在大約200℃與大約500℃之間。半導體處理腔室內的壓力可保持在大約1托與大約50托之間。當將第二含氟前驅物流動至半導體處理腔室的處理區域中時,處理區域可保持為無電漿。該等方法可包括,在蝕刻經暴露金屬之後,將含氯前驅物流入處理區域中。含氯前驅物可從經暴露金屬中清除殘留的氟。
本技術的一些實施例可涵蓋蝕刻的方法。該等方法可包括將第一含氟前驅物及二次氣體流動至半導體處理腔室的處理區域中。二次氣體可包括氧氣或氮氣。該等方法可包括將基板與第一含氟前驅物及二次氣體接觸。基板可包括經暴露金屬,並且基板可界定高深寬比結構。該等方法可包括蝕刻在高深寬比結構之內的經暴露金屬。該等方法可包括將經暴露金屬與含氧前驅物接觸以產生氧化金屬。該等方法可包括將第二含氟前驅物流動至半導體處理腔室的處理區域中。該等方法可包括移除氧化金屬。該方法可在小於或約500℃的腔室操作溫度下執行。
該技術可提供優於傳統系統及技術的眾多益處。例如,該等製程可允許從高深寬比特徵中移除金屬。此外,該等製程可在執行蝕刻製程期間提供一系列側壁輪廓。結合以下描述及附圖更詳細地描述該等及其他實施例,連同其許多優點及特徵。
在從二維反及過渡至三維反及時,許多製程操作係從垂直操作改為水平操作。另外,隨著3D NAND結構的形成單元數目的增加,記憶體孔及其他結構的深寬比會增加,有時甚至會顯著增加。在3D NAND處理期間,預留位置層及介電材料的堆疊可形成電極間介電層或IPD層。在完全移除材料並用金屬替換之前,該等預留位置層可能會執行各種操作以置放結構。可以執行金屬化,其中金屬係沿著結構且在介電質層之間形成作為記憶體單元的一部分。金屬可沿著記憶體孔的側壁並且在凹陷部分內延伸,並且可執行隨後的蝕刻以分離記憶體孔結構內的各個單元。
許多傳統技術利用蝕刻製程來生產該等結構,該等結構可能無法在未來的製程節點上充分發揮作用。例如,隨著結構內的單元數目增加至數百個單元,記憶體孔可經形成至若干微米的深度。由於濕式蝕刻的穩健蝕刻,濕式蝕刻可在蝕刻劑接近結構底部之前就開始蝕刻更接近結構頂部的特徵。此外,由於蝕刻劑的表面張力,小形狀因數結構的濕式蝕刻可能會導致圖案塌陷或變形。使用濕蝕刻劑亦可能需要後續操作以移除在溝槽或孔內形成的殘留物。亦可執行乾式蝕刻技術,但是可能會出現類似的負載問題。例如,由於進入溝槽深處需要時間,蝕刻可能已經在更靠近結構頂部發生。在一些情況下,稱為頂部到底部負載值的,在結構頂部蝕刻的金屬量與在結構底部蝕刻的量的比率,可大於或約為4。因此,頂部特徵可能在蝕刻完成或靠近結構底部的單元分離之前經過度蝕刻。
本技術藉由執行乾式蝕刻製程克服了該等問題,該製程可藉由將保護氣體與所使用的蝕刻劑結合來控制頂部至底部負載值。保護氣體可佔據整個待蝕刻金屬的位置,如此可以在蝕刻劑穿透高深寬比結構時限制或減少靠近結構頂部的蝕刻。此舉可允許沿結構形成可調諧的蝕刻輪廓,並且此舉可提供對蝕刻的額外控制,如此可增加製程的均勻性,而與結構的深度無關。
儘管剩餘的揭示內容將例行地識別使用經揭示技術的特定蝕刻製程,但將容易理解,該等系統及方法同樣適用於如可能發生在所述腔室中的沉積及清潔製程。因此,本技術不應視為如此限於僅與蝕刻製程或腔室一起使用。此外,儘管示例性腔室經描述為為本技術提供基礎,但應理解,本技術實際上可應用於可允許所描述的操作的任何半導體處理腔室。
第1圖圖示根據實施例的沉積、蝕刻、烘烤及固化腔室的處理系統100的一個實施例的頂部平面圖。在附圖中,一對前開式晶圓傳送盒102供應各種尺寸的基板,該等基板由機械臂104接收並且經置放至低壓保持區106中,然後經置放至位於串列區段109a-c中的基板處理腔室108a-f之一者中。第二機械臂110可用於將基板晶圓從保持區106傳送至基板處理腔室108a-f並返回。除了循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、蝕刻、預清洗、脫氣、定向等基板製程之外,每一基板處理腔室108a-f可經裝備以執行多個基板處理操作,包括如本文所述的乾式蝕刻製程。
基板處理腔室108a-f可包括用於在基板晶圓上沉積、退火、固化及/或蝕刻介電膜的一或多個系統元件。在一個配置中,兩對處理腔室(例如,108c-d及108e-f)可用於在基板上沉積介電材料,而第三對處理室(例如,108a-b)可用於蝕刻經沉積的介電質。在另一配置中,所有三對腔室(例如108a-f)可經配置以在基板上蝕刻介電膜。所描述的任何一或多種製程可在與不同實施例中所示的製造系統分離的一或多個腔室中進行。應瞭解,系統100預期了用於介電膜的沉積、蝕刻、退火及固化腔室的附加配置。
第2A圖圖示示例性製程腔室系統200的橫截面圖,該製程腔室系統在處理腔室內具有分隔的電漿產生區域,並且可經配置以執行如下文進一步描述的製程。在膜蝕刻期間,諸如包括氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氧氮化矽、碳氧化矽或其他材料的膜蝕刻,製程氣體可穿過氣體入口組件205流入第一電漿區域215。遠端電漿系統201可視情況地包括在系統中,並且可處理第一氣體,該第一氣體隨後行進穿過氣體入口組件205。入口組件205可包括兩個或更多個不同的氣體供應通道,其中第二通道可繞過遠端電漿系統單元201(若包括)。
圖示了冷卻板203、面板217、離子抑制器223、噴頭225和其上安置有基板255的基板支撐件265,並且上述每一者可根據實施例各自包括在內。基座265可具有熱交換通道,熱交換流體流過該熱交換通道以控制基板的溫度,該熱交換通道可經操作以在處理操作期間加熱及/或冷卻基板或晶圓。可包含鋁、陶瓷或其組合的基座265的晶片支撐盤亦可使用嵌入式電阻加熱元件經電阻加熱以達成相對較高的溫度,例如從高達或約100℃至高於或約1100℃。
面板217可為金字塔形、錐形或另一類似結構,其中窄的頂部部分擴展到寬的底部部分。如圖所示,面板217可另外地為平坦的並且包括用於分配製程氣體的複數個貫穿通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物種可穿過在面板217中的複數個孔(第2B中所示)用於更均勻地輸送至第一電漿區域215中。
示例性配置可包括使氣體入口組件205通向由面板217與第一電漿區域215隔開的氣體供應區域258,以便氣體/物種穿過面板217中的孔流入第一電漿區域215。結構及操作特徵可經選擇以防止電漿從第一電漿區域215顯著回流回至供應區域258、氣體入口組件205及流體供應系統210。面板217或腔室的導電頂部及噴頭225經圖示為具有位於特徵之間的絕緣環220,該絕緣環允許相對於噴頭225及/或離子抑制器223向面板217施加交流電位。絕緣環220可位於面板217與噴頭225及/或離子抑制器223之間,使得電容耦合電漿能夠在第一電漿區域中形成。擋板可另外位於第一電漿區域215中,或以其他方式與氣體入口組件205耦接,以影響流體穿過氣體入口組件205流入該區域。在一些實施例中,可使用額外的電漿源,包括在腔室周圍延伸或與腔室流體連通的感應耦合電漿源,以及額外的電漿產生系統。
離子抑制器223可包含板或其他幾何形狀,其在整個結構中限定了複數個孔口,該等孔口經配置以抑制帶離子電荷的物種遷移出第一電漿區域215,同時允許不帶電的中性或自由基物種穿過離子抑制器223進入抑制器與噴頭之間的活化氣體輸送區域。在實施例中,離子抑制器223可包含具有多種孔口配置的多孔板。該等不帶電荷的物種可包括高度反應性物質,該等物種與反應性較低的載氣一起穿過孔口傳輸。如上所述,離子物種穿過孔的遷移可得以減少,並且在某些情況下經完全抑制。控制穿過離子抑制器223的離子物種的量可有利地提供對與下層的晶圓基板接觸的氣體混合物的增加的控制,如此進而可增加對氣體混合物的沉積及/或蝕刻特性的控制。例如,調整氣體混合物的離子濃度可顯著改變其蝕刻選擇性,例如,SiNx:SiOx蝕刻比、Si:SiOx蝕刻比等。在執行沉積的替代實施例中,調整氣體混合物的離子濃度亦可改變介電材料的保形至可流動式沉積的平衡。
離子抑制器223中的複數個孔口可經配置以控制活化氣體,即離子、自由基及/或中性物種穿過離子抑制器223的傳遞。例如,可控制孔的深寬比,或孔的直徑與長度,及/或孔的幾何形狀,以便通過離子抑制器223的活化氣體中帶離子電荷的物種的流動得以減少。離子抑制器223中的孔可包括面向電漿激發區域215的錐形部分,及面向噴頭225的圓柱形部分。圓柱形部分可經成形及調整尺寸以控制傳遞至噴頭225的離子物種的流動。亦可將可調節的電偏壓施加至離子抑制器223,作為控制離子物種穿過抑制器的流動的附加構件。
離子抑制器223可起作用以減少或消除從電漿產生區域行進至基板的帶離子電荷物種的量。不帶電的中性及自由基物種仍可能通過離子抑制器中的開口以與基板反應。應注意,在實施例中,可以不執行圍繞基板的反應區域中的帶離子電荷的物種的完全消除。在某些情況下,離子物種意欲到達基板以執行蝕刻及/或沉積製程。在這些情況下,離子抑制劑可幫助將反應區域中離子物種的濃度控制在有助於該製程的位準。
噴頭225與離子抑制器223結合可允許存在於第一電漿區域215中的電漿避免直接激發基板處理區域233中的氣體,同時仍允許激發的物種從腔室電漿區域215行進至基板處理區域233中。以此方式,腔室可經配置以防止電漿接觸被蝕刻的基板255。如此可有利地保護在基板上圖案化的各種複雜結構及膜,如果該等複雜結構及膜直接接觸所產生的電漿,則其可能會被損壞、錯位或以其他方式翹曲。另外,當電漿經允許以接觸基板或接近基板位準時,氧化物物種蝕刻的速率可能增加。因此,如果材料的暴露區域為氧化物,則該材料可藉由使電漿遠離基板來進一步保護。
處理系統可進一步包括與處理室電耦合的電源240,以向面板217、離子抑制器223、噴頭225及/或基座265提供電力,以在第一電漿區域215或處理區域233中產生電漿。電源可經配置以取決於所執行的製程向腔室輸送可調節量的功率。該配置可允許在正經執行的製程中使用可調諧電漿。與通常具有開啟或關閉功能的遠端電漿單元不同,可調諧電漿可經配置以向電漿區域215輸送特定量的功率。如此進而可允許特定電漿特性的形成,以使得前驅物可以特定方式離解以增強由該等前驅物產生的蝕刻輪廓。
電漿可在噴頭225上方的腔室電漿區域215或噴頭225下方的基板處理區域233中被點燃。電漿可存在於腔室電漿區域215中以從例如含氟前驅物或其他前驅物的入流中產生自由基前驅物。通常在射頻(「RF」)範圍內的交流電壓可施加在處理腔室的導電頂部(諸如面板217)與噴頭225及/或離子抑制器223之間,以在沉積期間點燃腔室電漿區域215中的電漿。射頻(RF)電源可產生13.56 MHz的高射頻頻率,但亦可單獨或與13.56 MHz的頻率結合產生其他頻率。
第2B圖圖示穿過面板217影響處理氣體分配的特徵的詳細視圖253。如第2A圖及第2B圖中所示,面板217、冷卻板203和氣體入口組件205相交以界定氣體供應區域258,製程氣體可從氣體入口205輸送至該氣體供應區域中。氣體可填充氣體供應區域258並穿過面板217中的孔口259流到第一電漿區域215。孔口259可經設置以大體上單向的方式引導流動,以使得製程氣體可流入處理區域233,但是可在穿過面板217之後得以部分或完全防止回流到氣體供應區域258中。
用於處理腔室部分200的氣體分配組件,諸如噴頭225可稱為雙通道噴頭,並且在第3圖中描述的實施例中另外詳細說明。雙通道噴頭可提供允許蝕刻劑在處理區域233之外的分離的蝕刻製程,以在被輸送至處理區域之前提供與腔室元件和彼此之間的有限相互作用。
噴頭225可包含上板214及下板216。板可彼此耦合以在板之間界定體積218。板的耦接可提供穿過上板及下板的第一流體通道219,以及穿過下板216的第二流體通道221。經形成的通道可經設置以僅經由第二流體通道221提供從容積218穿過下板216的流體通路,並且第一流體通道219可與板和第二流體通道221之間的容積218流體隔離。容積218可穿過氣體分配組件225的一側流體地接近。
第3圖為根據實施例的用於處理腔室的噴頭325的底視圖。噴頭325可與第2A圖中所示的噴頭225相對應。顯示第一流體通道219的視圖的通孔365可具有複數種形狀和配置,以便控制且影響前驅物穿過噴頭225的流動。顯示第二流體通道221的視圖的小孔375可大體上均勻地分佈在噴頭的表面上,甚至分佈在通孔365之間,並且可能有助於在前驅物離開噴頭時提供比其他配置更均勻的前驅物混合。
先前論述的腔室可用於執行示例性方法,包括蝕刻方法,儘管可配置任意數目的腔室以執行在本技術的實施例中使用的一或多個態樣。轉至第4圖,該圖圖示根據本技術的實施例的方法400的示例性操作。方法400可包括在方法開始之前的一或多個操作,包括前端處理、沉積、蝕刻、拋光、清潔或可在所述操作之前執行的任何其他操作。該等方法可以包括多個可選操作,該等操作可以或可以不與根據本技術的實施例的方法的一些實施例特定相關聯。例如,描述了許多操作以提供所執行的、但對本技術並非關鍵的,或者可藉由如將在下文進一步論述的替代方法來執行的更廣泛範圍的製程。方法400可描述在第5A圖至第5C圖中示意性地示出的操作,該等操作的說明將結合方法400的操作進行描述。應當理解,附圖僅示出了部分示意圖,並且基板可包含任意數目的具有如圖所示的各種特性和態樣的附加材料及特徵。
方法400可能涉及或可能不涉及將半導體結構開發至特定製造操作的可選操作。應當理解,如第5A圖中所示,方法400可在任何數目的半導體結構或基板505上執行,包括可對其執行金屬材料移除操作的示例性結構。如第5A圖中所示,基板505可以具有覆蓋基板的複數個堆疊層,該堆疊層可為矽、矽鍺或其他基板材料。例如,該等層可包括IPD層,該IPD層包括介電材料510,該介電材料可為與佔位材料520成交替層的氧化矽,該佔位材料可為例如氮化矽或多晶矽。佔位材料520可為或包括將經移除以在隨後的操作中產生單獨的記憶體單元的材料。儘管僅用7層材料示出,示例性結構可包括任何層數,包括數百層材料,並且應理解,附圖僅是用於說明本技術的諸態樣的示意圖。可為記憶體孔或孔口的溝槽530可穿過堆疊結構界定至基板505的位準。溝槽530可由側壁界定,該等側壁可由介電材料510與佔位材料520的交替層組成。例如,側壁可為孔口或記憶體孔的半徑。儘管僅圖示了單個記憶體孔結構,但應理解,示例性基板可包括跨基板的任意數目的記憶體結構。
在可於佔位材料內形成凹部之後,可在結構上形成或沉積金屬材料540。如圖所示,金屬540可圍繞結構延伸並且在記憶體孔和形成在佔位材料中的每一凹槽內延伸。金屬可為鉬、鎢或可用於3D NAND或其他半導體結構的多種其他金屬。基板可隨後經安置在處理腔室中,例如上述的腔室200,並且可執行方法400以蝕刻高深寬比特徵內的金屬。例如,根據本技術的特徵可以經由結構的任何深寬比或高寬比來表徵,儘管在一些實施例中材料可藉由更大的深寬比來表徵,如此可能不允許使用如上所述的傳統技術或方法進行充分蝕刻。例如,在一些實施例中,示例性結構(例如作為非限制性實例的記憶體孔)的深寬比可大於或約10:1、大於或約20:1、大於或約30:1、大於或約40:1、大於或約50:1、大於或約100:1或更大。
方法400可經執行以蝕刻或以其他方式移除金屬540的部分,如此可將金屬分離成如圖所示的結構的凹陷部分。該方法可經執行以促進經由結構的輪廓控制,並改良蝕刻特性,諸如結構的凹陷部分內的金屬的表面光滑度。例如,方法400可包括在操作405處,將含氟前驅物及諸如保護氣體的二次氣體流入其中保持基板的腔室的處理區域。含氟前驅物及二次氣體可在操作410處接觸基板,並在操作415處蝕刻高深寬比結構內的金屬。如第5B圖中所示,金屬540可沿著記憶體孔的側壁以及沿著跨結構的頂表面在溝槽內凹陷。雖然傳統技術可產生類似於V形輪廓的頂部至底部的負載,其中更多的材料在如上所述的結構的頂部得以蝕刻,本技術可提供大體上或基本上筆直的輪廓,以及倒V形輪廓,其中進一步至結構中的材料可比結構頂部的材料被蝕刻得更多,如此可允許形成頂部至底部的負載值範圍。
為了提供此控制,本技術可利用可幫助限制或降低結構頂部的蝕刻或蝕刻速率的二次氣體。例如,在接觸特徵內的金屬之前,含氟前驅物及二次氣體可沿著外部頂表面進入金屬540,記憶體孔形成至該外部頂表面中。在無可為保護氣體的二次氣體的情況下,蝕刻可在結構的頂部開始,之後蝕刻可以在更接近結構的底部開始。然而,藉由併入二次氣體,二次氣體分子可佔據沿著金屬540的表面區域或位置,如此可降低蝕刻速率。例如,雖然氟可在分離的位置繼續與金屬結合,但該等位置可能至少部分地由二次氣體阻擋。示例性金屬,諸如鉬或鎢,可能不具有與氟一對一的移除特性,而是可在移除金屬原子之前併入三個、四個或六個氟原子。因此,藉由利用保護氣體,可控制、減少或限制氟與金屬之間的該等相互作用,如此可促進控制蝕刻速率。
然而,隨著二次氣體相對於含氟前驅體的流動速率比增加,蝕刻速率可能會繼續降低,且最終二次氣體分子會在每個位置中斷蝕刻製程,阻止進一步的蝕刻。因此,在一些實施例中,含氟前驅物與二次氣體的流動速率比可經保持大於或約1:1,如此可確保在結構頂部進行一定量的蝕刻。例如,含氟前驅物與二次氣體的流動速率比可保持在大於或約1.2:1,並且可保持在大於或約1.4:1、大於或約1.6:1、大於或約1.8:1、大於或約2.0:1、大於或約2.2:1、大於或約2.4:1、大於或約2.6:1、大於或約2.8:1、大於或約3.0:1、大於或約4.0:1、大於或約5.0:1、大於或約6.0:1、大於或約7.0:1、大於或約8.0:1、大於或約9.0:1,大於或約10.0:1,或更大。另外,可使用第一流動速率比,並且第一流動速率比可隨著蝕刻製程的進行而經調整為與隨著蝕刻製程的進行而不同於第一者的第二流動速率比。在一些實施例中,在流動操作期間,任何提及的比率或包含在所列範圍內的任何比率都可用於第一流動速率比或第二流動速率比。
在一些實施例中,可在接觸基板上的金屬之前對含氟前驅物及/或二次氣體進行電漿增強。電漿可在處理腔室的遠端區域中形成,或者可局部地形成。儘管可產生基板級電漿,但是在一些實施例中,電漿可為遠端電漿,如此可保護暴露的基板材料免受可能歸因於基板級電漿而發生的離子轟擊。無論是否經過電漿增強,該等材料皆可在結構頂部與金屬540接觸,且然後可穿過結構流入記憶體孔中。蝕刻製程可繼續,直至朝向橫向且垂直於記憶體孔的方向延伸的凹槽移除金屬為止。儘管該製程可繼續以使金屬進一步凹入每一凹槽中並穿過記憶體孔將單元分離,但在一些實施例中,方法400可包括二次蝕刻製程以完全分離單元並在凹槽內橫向地蝕刻。因為記憶體孔可能會延伸至幾微米的深度,蝕刻劑可能會損失流入結構更深處的能量,並橫向進入凹陷特徵,如此可進一步減慢蝕刻,並可能降低對暴露於若干表面上的介電材料的暴露表面的選擇性。因此,在第二次操作中,可以調整蝕刻劑以執行第二次凹陷操作。
例如,處理區域可能或可能不在第一蝕刻操作之後淨化。然後,在可選操作420中,電漿可由含氧前驅物形成,並流向基板。再一次,電漿可在處理腔室的遠端部分中形成,或在基板級局部形成。在可選操作425中,可使先前在第一蝕刻製程中打開的暴露金屬與含氧前驅物的電漿流出物接觸。在金屬已經氧化之後,在可選操作430處,第二含氟前驅物可流入處理腔室。在一些實施例中,電漿可在第二含氟前驅物的輸送之前被熄滅,並且處理腔室可在第二含氟前驅物的輸送期間保持無電漿。第二含氟前驅物可與第一含氟前驅物相同或不同,但在一些實施例中,第二前驅物可在製程條件下更容易地提供氟。第二含氟前驅物可接觸氧化材料,並且可在可選操作435移除氧化金屬。如第5C圖中所示,金屬540可在單元之間完全分離,並且可在沿著垂直記憶體孔的存取位置內凹陷。
在一些實施例中,可在第一蝕刻製程及/或第二蝕刻製程之後將殘餘氟併入剩餘金屬中。因此,在一些實施例中,可在可選操作440處執行後處理操作,該操作可在第一蝕刻製程之後,諸如在操作415之後;在第二蝕刻製程之後,例如在操作440處,或者在每一蝕刻製程之後發生。例如,諸如三氯化硼的含氯前驅物可流入處理腔室。在實施例中,含氯前驅物可以或可以不進行電漿增強,並且若進行電漿增強,則可在處理腔室內遠端或原位產生電漿。在本技術的一些實施例中,含氯前驅物可接觸基板,並且可與剩餘金屬的表面相互作用以清除任何殘留的氟。
在一些實施例中,示例性的含氟前驅物可以包括氟或氯中的一或多者,以及任何其他鹵素。可使用的一些示例性前驅物可包括鹵化物,包括氟化氫、三氟化氮或任何有機氟化物、雙原子氟、三氟化溴、三氟化氯、六氟化硫、二氟化氙、三氯化硼、五氯化鎢、六氯化鎢或任何其他含氟前驅物。亦可包括含氯前驅物或將其代替含氟前驅物,並且可使用三氯化硼、雙原子氯或其他含氯前驅物。前驅物亦可以多種組合一起流動。例如,如前所述,第二含氟前驅物相對於第一含氟前驅物可以更容易地提供氟。作為前驅物的一個非限制性實例,第一含氟前驅物可為或包括三氟化氮,而第二含氟前驅物可為或包括六氟化鎢或六氟化硫。
前驅物亦可與任何數目的附加前驅物或載氣一起流動,包括雙原子氫或含氫前驅物、氮氣、氬氣、氦氣或任何數目的附加材料,儘管在一些實施例中,前驅物可能限於控制副反應或可能影響選擇性的其他態樣。在蝕刻製程期間提供的二次氣體可包括含氧前驅物及/或含氮前驅物。例如,非限制性的含氧前驅物可包括雙原子氧、臭氧、水、醇、過氧化氫、一氧化二氮、一氧化氮或任何其他含氧材料。例如,非限制性的含氮前驅物可包括雙原子氮,或任何亦包括氮氣的含氧前驅物。
製程條件亦可影響方法400中執行的操作。在實施例中,方法400的每一操作可在恆溫期間執行,而在一些實施例中,可在不同操作期間調整溫度。例如,在方法400期間的基板、基座或腔室溫度可維持在大於或約150℃、大於或約200℃、大於或約250℃、大於或約300℃、大於或約350℃、大於或約400℃、大於或約450℃、大於或約500℃或更高。然而,在較高溫度下,可能會發生含氟材料的進一步解離,如此可產生更多的氟自由基。隨著氟自由基量的增加,保護氣體可能無法充分控制反應。因此,在一些實施例中,溫度可保持低於或約700℃,並且可保持低於或約650℃、低於或約600℃、低於或約550℃、低於或約500℃,或更低。
在一些實施例中,該製程可在多種壓力下發生,如此可促進在多個製程腔室中的任一者中的操作。例如,該製程可在能夠提供壓力的腔室內進行,該壓力可保持在大於或約1托,並且可保持在大於或約2托、大於或約5托、大於或約10托、大於或約50托,大於或約100托、大於或約200托,或更高。藉由利用大於或約1托的壓力,可促進蝕刻劑穿過高深寬比結構的輸送。儘管可以在如上所述的一或多個操作中使用電漿,但是在一些實施例中可以不使用電漿,並且可在保持半導體處理腔室內的無電漿環境的同時執行整個方法。當在一或多個操作期間使用電漿流出物時,電漿功率可保持在小於約500 W的功率下。藉由保持較低的電漿功率,濺射可得以控制,並且可將相互作用限制為更受控制的化學反應,如此可更好地限制蝕刻穿過金屬的範圍,例如更靠近結構頂部。例如,此舉可進一步促進對沿記憶體孔的輪廓的控制。因此,在一些實施例中,電漿功率可保持在小於或約450W、小於或約400 W、小於或約350 W、小於或約300 W、小於或約250 W、小於或約200 W、小於或約150 W、小於或約100 W或更少。
藉由利用如在本技術中所論述的前驅物及處理,3D NAND及其他半導體結構中使用的金屬可從諸如氧化矽的介電材料部分之間更均勻地蝕刻,同時限制氧化矽的損壞或移除,並保持改良的輪廓或頂部至底部的負載值。例如,在本技術的一些實施例中,在第一蝕刻製程或第二蝕刻製程之後,頂部至底部的負載值可保持在小於或約2:1,並且可保持在小於或約1.8:1、小於或約1.6:1、小於或約1.5:1、小於或約1.4:1、小於或約1.3:1、小於或約1.2:1、小於或約1.1:1或約1.0:1,表明在更接近結構頂部以及更接近結構底部的位置處的等效蝕刻。藉由使用術語「約」,本案意欲涵蓋在整個本發明技術中論述的形狀因數的測量限制,如此可能無法提供完美的測量精度,儘管通常識別的條件是可以理解的。此外,在一些實施例中,蝕刻製程可如上文所述進一步經調整以產生V形輪廓或倒V形輪廓,其中頂部至底部的負載值可維持在小於或約0.9:1,並且可維持在小於或約0.8:1、小於或約0.7:1、小於或約0.6:1、小於或約0.5:1或更低。
在先前的描述中,為了解釋的目的,已闡述了許多細節以提供對本發明技術的各種實施例的理解。然而,對於熟習該項技術者顯而易見的是,可在沒有該等細節中的一些或具有附加細節的情況下實踐某些實施例。
已揭示了若干實施例,熟習該項技術者將認識到,在不脫離實施例的精神的情況下可使用各種修改、替代構造及等同物。另外,為了避免不必要地混淆本發明技術,未描述多個眾所熟知的製程及元件。因此,以上描述不應視為限製本發明技術的範圍。另外,方法或製程可經描述為順序的或分步的,但應理解,該等操作可同時執行,或以與所列不同的順序執行。
在提供值範圍的情況下,應當理解,除非上下文另有明確規定,否則還特定揭示了該範圍的上限與下限之間的每個中間值(到下限單位的最小分數)。涵蓋任何規定值或規定範圍內的未規定中間值與該規定範圍內的任何其他規定或中間值之間的任何更窄範圍。彼等較小範圍的上限及下限可以獨立地包括在該範圍內或排除在該範圍內,並且其中任一者、兩者皆不或兩者包括在較小範圍內的每一範圍亦涵蓋在本發明技術內,受制於以下所規定範圍中任何明確排除的限制。若所述範圍包括一個或兩個限制,則亦包括不包括其中一個或兩個限制的範圍。
如本文及所附申請專利範圍中所用,單數形式「一(a)」、「一(an)」及「該(the)」包括複數形式,除非上下文另有明確規定。因此,例如,提及「一前驅物」包括複數個此類前驅物,提及「該層」包括提及熟習該項技術者已知的一或多個層及其等同物,等等。
此外,當在本說明書和以下申請專利範圍中使用時,詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」和「包括(including)」意欲指定所述特徵、整數、元件或操作的存在,但不排除一或多個其他特徵、整數、元件、操作、行為或群組的存在或添加。
100:處理系統 102:前開式晶圓傳送盒 104:機械臂 106:低壓保持區 108a:基板處理腔室 108b:基板處理腔室 108c:基板處理腔室 108d:基板處理腔室 108e:基板處理腔室 108f:基板處理腔室 109a:串列區段 109b:串列區段 109c:串列區段 110:第二機械臂 200:製程腔室系統 201:遠端電漿系統 203:冷卻板 205:入口組件 210:流體供應系統 214:上板 215:第一電漿區域 216:下板 217:面板 218:體積 219:第一流體通道 220:絕緣環 221:第二流體通道 223:離子抑制器 225:氣體分配組件 233:基板處理區域 240:電源 253:詳細視圖 255:基板 258:氣體供應區域 259:孔口 265:基板支撐件 325:噴頭 365:通孔 375:小孔 400:方法 405:操作 410:操作 415:操作 420:操作 425:操作 430:操作 435:操作 440:操作 505:半導體結構/基板 510:介電材料 520:佔位材料 530:溝槽 540:金屬材料
所揭示的技術之本質及優點的進一步理解可參考說明書的剩餘部分及附圖來實現。
第1圖圖示根據本技術的一些實施例的示例性處理系統的一個實施例的頂部平面圖。
第2A圖圖示根據本技術的一些實施例的示例性處理腔室的示意性橫截面圖。
第2B圖圖示根據本技術的一些實施例的第2A圖中所示的處理腔室的一部分的詳細視圖。
第3圖圖示根據本技術的一些實施例的示例性噴頭的底部平面圖。
第4圖圖示根據本技術的一些實施例的方法中的示例性操作。
第5A圖至第5C圖圖示根據本技術的一些實施例的正經處理的基板的橫截面圖。
包括若干附圖作為示意圖。應理解,該等附圖是為了說明目的,除非特定說明是按比例的,否則該等附圖不被視為按比例的。此外,作為示意圖,提供該等附圖是為了幫助理解,並且可能不包括與現實表示相比的所有態樣或資訊,並且可能包括用於說明目的之誇大材料。
在附圖中,相同的元件及/或特徵可具有相同的元件符號。此外,相同類型的各種元件可以藉由在元件符號後面加上區分相似元件的字母來區分。若說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號的任一個相似元件,而不管字母如何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:方法
405:操作
410:操作
415:操作
420:操作
425:操作
430:操作
435:操作
440:操作

Claims (20)

  1. 一種蝕刻方法,包含以下步驟: 將一含氟前驅體及一二次氣體流入一半導體處理腔室的一處理區域,其中該二次氣體包含氧氣或氮氣,並且其中該含氟前驅物與該二次氣體的一流動速率比大於或約1:1; 將一基板與該含氟前驅物及該二次氣體接觸,其中該基板包含一暴露的金屬,並且其中該基板界定一高深寬比結構;以及 蝕刻在該高深寬比結構之內的該經暴露金屬。
  2. 如請求項1所述之方法,進一步包含以下步驟: 形成該第一含氟前驅物及該二次氣體的一電漿。
  3. 如請求項1所述之方法,其中該處理區域之內的一溫度維持在小於或約500℃下。
  4. 如請求項1所述之方法,其中該高深寬比結構包括一3D NAND結構中的一記憶體孔,並且其中該暴露的金屬橫向延伸至垂直於記憶體孔形成的凹槽中。
  5. 如請求項1所述之方法,進一步包含以下步驟: 在蝕刻該經暴露金屬之後,淨化該半導體處理腔室的該處理區域; 形成一含氧前驅物的一電漿;以及 將該經暴露金屬與該含氧前驅物的電漿流出物接觸以產生氧化金屬。
  6. 如請求項5所述之方法,其中該含氟前驅物為一第一含氟前驅物,該方法進一步包含以下步驟: 將一第二含氟前驅物流入處理區域;以及 將該氧化金屬與該第二含氟前驅物接觸。
  7. 如請求項6所述之蝕刻方法,其中當將該氧化金屬與該第二含氟前驅物接觸時,該處理區域保持為無電漿。
  8. 如請求項1所述之蝕刻方法,其中該方法係在約1托和約50托之間的一腔室操作壓力下執行。
  9. 如請求項1所述之蝕刻方法,進一步包含以下步驟: 在蝕刻該經暴露金屬之後,將一含氯前驅物流入該處理區域中,其中該含氯前驅物清除殘留的氟。
  10. 如請求項1所述之蝕刻方法,其中在隨後蝕刻該高深寬比結構內的該經暴露金屬後,一頂部至底部負載值小於或約為1.5。
  11. 一種蝕刻方法,包含以下步驟: 將一第一含氟前驅物及一二次氣體流動至一半導體處理腔室的一處理區域; 將一基板與該第一含氟前驅物及該二次氣體接觸,其中該基板包含一暴露的金屬,並且其中該基板在一3D NAND結構中界定一記憶體孔,以及其中該暴露的金屬橫向延伸至垂直於該記憶體孔形成的凹槽中; 蝕刻在該記憶體孔之內的該經暴露金屬; 形成一含氧前驅物的一電漿; 將該經暴露金屬與該含氧前驅物的電漿流出物接觸以產生氧化金屬; 將一第二含氟前驅物流動至該半導體處理腔室的該處理區域中;以及 移除該經氧化的金屬。
  12. 如請求項11所述之蝕刻方法,其中該二次氣體包含氧氣或氮氣。
  13. 如請求項11所述之蝕刻方法,其中該第一含氟前驅物與該二次氣體的一流動速率比大於或約1:1。
  14. 如請求項11所述之蝕刻方法,進一步包含以下步驟: 形成該第一含氟前驅物及該二次氣體的一電漿。
  15. 如請求項11所述之方法,其中該半導體處理腔室之內的一溫度維持在約200℃與約500℃之間。
  16. 如請求項11所述之蝕刻方法,其中該半導體處理室之內的一壓力保持在約1托與約50托之間。
  17. 如請求項11所述之蝕刻方法,其中當將一第二含氟前驅物流動至該半導體處理腔室的該處理區域中時,該處理區域保持為無電漿。
  18. 如請求項11所述之蝕刻方法,進一步包含以下步驟: 在蝕刻該經暴露金屬之後,將一含氯前驅物流入該處理區域中。
  19. 如請求項16所述之蝕刻方法,其中該含氯前驅物從該暴露的金屬中清除殘留的氟。
  20. 一種蝕刻方法,包含以下步驟: 將一第一含氟前驅物及一二次氣體流動至一半導體處理腔室的一處理區域中,其中該二次氣體包含氧氣或氮氣; 將一基板與該含氟前驅物及該二次氣體接觸,其中該基板包含一暴露的金屬,並且其中該基板界定一高深寬比結構; 蝕刻在該高深寬比結構之內的該經暴露金屬; 將該經暴露金屬與一含氧前驅物接觸以產生氧化金屬; 將一第二含氟前驅物流動至該半導體處理腔室的該處理區域中;以及 移除該氧化金屬,其中該方法在小於或約500℃的一腔室操作溫度下執行。
TW111116187A 2021-05-04 2022-04-28 高深寬比特徵中的金屬蝕刻 TWI817471B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/307,636 2021-05-04
US17/307,636 US11631589B2 (en) 2021-05-04 2021-05-04 Metal etch in high aspect-ratio features

Publications (2)

Publication Number Publication Date
TW202301460A true TW202301460A (zh) 2023-01-01
TWI817471B TWI817471B (zh) 2023-10-01

Family

ID=83901636

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111116187A TWI817471B (zh) 2021-05-04 2022-04-28 高深寬比特徵中的金屬蝕刻

Country Status (6)

Country Link
US (1) US11631589B2 (zh)
JP (1) JP2024519207A (zh)
KR (1) KR20240003446A (zh)
CN (1) CN117916865A (zh)
TW (1) TWI817471B (zh)
WO (1) WO2022235378A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989929A (en) * 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10497579B2 (en) * 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10854426B2 (en) * 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10755941B2 (en) * 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11121002B2 (en) * 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP6963097B2 (ja) * 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
TW202117802A (zh) 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Also Published As

Publication number Publication date
TWI817471B (zh) 2023-10-01
US11631589B2 (en) 2023-04-18
JP2024519207A (ja) 2024-05-09
US20220359214A1 (en) 2022-11-10
CN117916865A (zh) 2024-04-19
WO2022235378A1 (en) 2022-11-10
KR20240003446A (ko) 2024-01-09

Similar Documents

Publication Publication Date Title
US10319603B2 (en) Selective SiN lateral recess
US10861676B2 (en) Metal recess for semiconductor structures
TW201826386A (zh) 用於高深寬比結構之移除方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
TWI766433B (zh) 形成氣隙的系統及方法
US10283324B1 (en) Oxygen treatment for nitride etching
TWI817471B (zh) 高深寬比特徵中的金屬蝕刻
TWI823251B (zh) 用於移除含氮化物膜的系統及方法
TWI785783B (zh) 用於選擇性金屬化合物移除之系統及方法
US20230015080A1 (en) Metal oxide directional removal
US11328909B2 (en) Chamber conditioning and removal processes
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
TWI804054B (zh) 用於移除含鎢膜的系統及方法
US20230290647A1 (en) Metal deposition and etch in high aspect-ratio features
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features