TWI837647B - 含釕材料的選擇性移除法 - Google Patents

含釕材料的選擇性移除法 Download PDF

Info

Publication number
TWI837647B
TWI837647B TW111115140A TW111115140A TWI837647B TW I837647 B TWI837647 B TW I837647B TW 111115140 A TW111115140 A TW 111115140A TW 111115140 A TW111115140 A TW 111115140A TW I837647 B TWI837647 B TW I837647B
Authority
TW
Taiwan
Prior art keywords
ruthenium
substrate
containing precursor
etching method
plasma
Prior art date
Application number
TW111115140A
Other languages
English (en)
Other versions
TW202247283A (zh
Inventor
王柏瑋
小林 陳
洛韓普力葛魯 雷迪
奧利佛 貞
振江 崔
安川 王
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/240,149 external-priority patent/US11798813B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202247283A publication Critical patent/TW202247283A/zh
Application granted granted Critical
Publication of TWI837647B publication Critical patent/TWI837647B/zh

Links

Abstract

示例性蝕刻方法可包括使含氧前驅物流入半導體處理腔室的處理區域中。該方法可包括以下步驟:使容納在處理區域中的基板與含氧前驅物接觸。基板可包括暴露的釕區域,且該接觸步驟可產生四氧化釕。該方法可包括以下步驟:使四氧化釕從暴露的釕區域的表面汽化。可保留(remain)一定量的氧化的釕。該方法可包括以下步驟:使氧化的釕與含氫前驅物接觸。該方法可包括以下步驟:去除氧化的釕。

Description

含釕材料的選擇性移除法
本申請案依專利法主張於2021年4月26日提出申請的美國非臨時專利申請案第17/240,149號名稱為「SELECTIVE REMOVAL OF RUTHENIUM-CONTAINING MATERIALS」之優先權權益,本專利申請案之內容整體上經由引用之方式結合於本申請案中。
本技術係關於半導體製程和設備。更具體地,本技術係關於選擇性蝕刻含釕結構。
藉由在基板表面上產生交錯(intricately)圖案化的材料層的製程使得可以製成積體電路。在基板上產生圖案化材料需要用於去除暴露材料的受控方法。化學蝕刻用於多種目的,包括將光刻膠中的圖案轉移到底層、減薄層或減薄表面上已經存在的特徵的橫向尺寸。通常希望具有蝕刻一種材料比另一種材料更快的蝕刻製程,例如, 圖案轉移製程。據說這樣的蝕刻製程對第一種材料具有選擇性。由於材料、電路和製程的多樣性,已經發展出具有對各種材料的選擇性的蝕刻製程。
基於製程中使用的材料,蝕刻製程可被稱為濕式或乾式蝕刻。例如,濕式蝕刻可相對於其他介電質和材料,優先去除某些氧化物介電質。然而,濕式製程可能難以穿透一些限制的(constrained)溝槽且有時還可能使剩餘(remaining)材料變形(deform)。在基板處理區域內形成的局部電漿中產生的乾式蝕刻可穿透更受限制的溝槽並且展現出精細剩餘結構的較小變形。然而,局部電漿可能會在放電時產生電弧,而損壞基板。
因此,對於可用於生產高品質裝置和結構的改良系統和方法有需求。這些和其他需求由本技術所解決。
示例性蝕刻方法可包括使含氧前驅物流入半導體處理腔室的處理區域中。該方法可包括以下步驟:使容納在處理區域中的基板與含氧前驅物接觸。基板可包括暴露的釕區域,且該接觸步驟可產生四氧化釕。該方法可包括以下步驟使四氧化釕從暴露的釕區域的表面汽化。可保留(remain)一定量的氧化的釕。該方法可包括以下步驟:使氧化的釕與含氫前驅物接觸。該方法可包括以下步驟:去除氧化的釕。
在一些實施例中,該方法可包括以下步驟:形成該含氧前驅物的一電漿,其中電漿流出物流入該處理區域中。處理區域內的溫度可保持在大於或約為100℃。處理區域內的溫度可保持在低於或約為150℃。含氫前驅物可以是雙原子氫或包括雙原子氫。該方法可包括以下步驟:在使該含氧前驅物流動之前,使該基板與該含氫前驅物接觸。含氫前驅物可暴露釕區域。在整個蝕刻方法中,處理區域可保持無電漿。去除氧化的釕的步驟可能會暴露額外的釕。該方法可重複一額外的循環(cycle)。可相對於氧化矽、氧化鈦或氧化鎢的一暴露區域選擇性地去除該釕。半導體處理腔室內的壓力可維持在大於或約為1托(Torr)。
本技術的一些實施例可包括蝕刻方法。該方法可包括以下步驟:使含氧前驅物流入半導體處理腔室的處理區域中。該方法可包括以下步驟:使容納在處理區域中的基板與含氧前驅物接觸。基板可包括釕的暴露區域。該接觸的步驟可產生四氧化釕。該方法可包括以下步驟:在該半導體處理腔室的該處理區域內用惰性前驅物施行一粒子處理。該方法可包括以下步驟:使該四氧化釕與該惰性前驅物接觸。該方法可包括以下步驟:去除四氧化釕。
在一些實施例中,該方法可包括以下步驟:在該半導體處理腔室的該處理區域或一遠端電漿區域中形成該含氧前驅物的電漿。半導體處理腔室內的壓力可維持在小於或約為25。℃。電漿可由惰性前驅物形成。用於形成惰性 前驅物的電漿之電漿功率可保持在小於或約為500W。去除四氧化釕的步驟可能會暴露額外的釕。該方法可重複一額外的循環。該方法可包括以下步驟:在使含氧前驅物流動之前,使該基板與含氫前驅物接觸。含氫前驅物可暴露釕區域。
本技術的一些實施例可包括蝕刻方法。該方法可包括以下步驟:使設置在半導體處理腔室的處理區域內的基板與含氫前驅物接觸。該接觸的步驟可能暴露基板上的釕金屬。該方法可包括以下步驟:使含氧前驅物流入半導體處理腔室的處理區域中。該方法可包括以下步驟:使暴露在基板上的釕金屬與含氧前驅物接觸。該接觸的步驟可產生四氧化釕。該方法可包括以下步驟:使四氧化釕從釕金屬的表面汽化。在一些實施例中,處理區域內的溫度可保持在小於或約為150℃。該方法可包括以下步驟:在該半導體處理腔室內形成該含氧前驅物的一電漿。該方法可包括以下步驟:該方法重複至少一個額外的循環。
相較於傳統系統和技術,這種技術可提供許多好處。例如,這些製程可允許施行精密控制的乾式蝕刻,這可去除含釕材料的隱蔽式(discreet)層。此外,相對於基板上的其他暴露的材料,該製程可選擇性地去除含釕膜。結合以下描述和所附圖示更詳細地描述這些和其他實施例以及它們的許多優點和特徵。
100:處理系統
102:前開式晶圓傳送盒
104:機械臂
106:容置區域
108a-f:基板處理腔室
109a-c:串接區段
110:第二機械臂
200:處理腔室系統
201:遠端電漿系統
203:冷卻板
205:氣體入口組件
210:流體供應系統
214:上板
215:第一電漿區域
216:下板
217:面板
218:容積
219:第一流體通道
220:絕緣環
221:第二流體通道
223:離子抑制器
225:噴頭
233:基板處理區域
240:電源供應
253:視圖
255:基板
258:供應區域
259:孔
265:基座
325:噴頭
365:貫通孔
375:小孔
400:方法
405:操作
410:操作
415:操作
420:操作
505:基板
510:釕
515:氧電漿流出物
520:含氫材料
525:四氧化釕
527:釕材料
600:方法
605:操作
610:操作
615:操作
620:操作
625:操作
705:基板
710:含釕材料
715:含氧材料
720:四氧化釕
725:惰性流出物
730:四氧化釕
可藉由參考說明書和圖式的其餘部分來進一步瞭解所揭露技術的性質和優點。
圖1表示根據本技術的一些實施例的示例性處理系統的一個實施例的頂視圖。
圖2A表示根據本技術的一些實施例的示例性處理腔室的示意性截面圖。
圖2B表示根據本技術的一些實施例的圖2A所示的處理腔室的一部分的詳細視圖。
圖3表示根據本技術的一些實施例的示例性噴頭的底視圖。
圖4表示根據本技術的一些實施例的方法中的示例性操作。
圖5A-5C表示根據本技術的一些實施例蝕刻的材料的示意性截面圖。
圖6表示根據本技術的一些實施例的方法中的示例性操作。
圖7A-7C表示根據本技術的一些實施例蝕刻的材料的示意性截面圖。
其中若干圖作為示意圖包含在內。應當理解,圖示僅用於說明目的,除非特別說明是按比例繪製的,否則不應視為按比例繪製。另外,作為示意圖,提供了圖示以幫助理解,且相較於實際表示,圖示可能不包括所有態樣 或資訊,且出於說明目的,圖示可能包括額外的或誇大的材料。
在所附圖示中,相似的部件和/或特徵可具有相同的數字編號。此外,可藉由在數字編號後面加上在相似組件之間作區分的字母來區別相同類型的各種部件。如果在說明書中僅使用第一數字編號,則該描述適用於具有相同第一數字編號的任何類似部件,而與字母無關。
隨著電子元件的尺寸不斷縮小,向未來技術節點的過渡已經揭示了隨著縮放(scaling)半導體材料所面臨的挑戰。例如,基於金屬的相對低電阻率,銅已被用作互連件或佈線(wiring)材料。然而,隨著臨界尺寸繼續減小,銅已顯示出電阻率增加。對於相對較大的線寬,銅可以保持較低的電阻率以保持元件效能。雖然,隨著佈線減少到50nm以下,銅的電阻率會因表面散射而急劇增加。散射可能與隨電流移動的電子有關。當電子到達側壁時,電子可能在某種意義上失去動量,且有效電阻開始增加。在較低的線寬下,有效電子平均自由路徑減少且散射增加,對於銅,電阻率可比標準體(standard bulk)電阻率增加五倍以上。
由於銅的特點是電阻率低,因此該材料在較大的線寬下仍可能優於(outperform)其他材料。當過渡到較小的線寬時,銅電阻率的急劇增加可能會導致其他材料 的效能開始優於銅。例如,釕的特徵可能在於在元件縮放期間電阻率的不那麼顯著增加,其在特定線寬下可能變得小於銅。然而,釕可能經常使用基於氯的蝕刻製程來處理,這可能導致釕的表面粗糙度增加。在材料介面處,這種增加的粗糙度可能會藉由進一步增加散射而對電阻率產生不利影響。
本技術藉由施行蝕刻製程克服了這些問題,該蝕刻製程可去除隱蔽式層中的釕,並且可選擇性地對包括數個氧化物材料的周圍材料施行該蝕刻製程。藉由發展(evolving)或釋放氧化的材料來去除釕,本技術可提供與許多傳統化學蝕刻相比提供更平滑的介面表面的蝕刻製程。儘管剩餘的揭示將常規地識別利用所揭露的技術的特定材料和半導體結構,但將容易理解到,系統、方法和材料同樣適用於可能受益於本技術的態樣的許多其他結構。因此,不應認為該技術僅限於與任何特定製程或單獨材料一起使用。此外,雖然描述了示例性腔室來為本技術提供基礎,但應理解的是,本技術實際上可以在可允許所描述的操作的任何半導體處理腔室中施行。
圖1表示根據實施例的沉積、蝕刻、烘烤和固化腔室的處理系統100的一個實施例的頂視圖。在該圖中,一對前開式晶圓傳送盒102供應由機械臂104接收並在放入位於串接(tandem)區段109a-c中的基板處理腔室108a-f之一之前放入低壓容置區域106的各種尺寸的基板。第二機械臂110可用於將基板從容置區域106輸送到基 板處理腔室108a-f並返回。每個基板處理腔室108a-f可以經配備以施行多種基板處理操作,除了循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、蝕刻、預清洗、脫氣、定向和其他基板製程之外,其還包括本案所述之乾式蝕刻製程。
基板處理腔室108a-f可包括一個或多個系統組件,用於在基板晶圓上沉積、退火、固化和/或蝕刻介電膜。在一個配置中,可使用兩對處理腔室(如108c-d和108e-f),以在基板上沉積介電材料,且可使用第三對處理腔室(如108a-b),以蝕刻沉積的介電質。在另一配置中,所有三對腔室(如108a-f)可經配置蝕刻基板上的介電膜。所述的製程中的任何一個或多個可在與不同實施例中所示的製造系統分開的一個或多個腔室中進行。應當理解,系統100可考慮針對介電膜的沉積、蝕刻、退火和固化腔室的額外配置。
圖2A表示示例性處理腔室系統200的截面圖,其中處理腔室內具有分隔的電漿產生區域。在膜蝕刻(如氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等)期間,製程氣體可通過氣體入口組件205流入第一電漿區域215。遠端電漿系統201可以可選地被包含在系統中,且可處理第一氣體,第一氣體接著行進通過氣體入口組件205。氣體入口組件205可包括兩個或更多個不同的氣體供應通道,其中第二通道可繞過遠端電漿系統201(如果包含的話)。
所示為冷卻板203、面板217、離子抑制器223、噴頭225和基座265或基板支撐件(其上設置有基板255),且根據實施例可各自包括以上各者。基座265可具有熱交換通道,熱交換流體流過熱交換通道以控制基板的溫度,熱交換流體可在處理操作期間操作以加熱和/或冷卻基板或晶圓。也可使用嵌入式電阻加熱器元件來電阻加熱基座265的晶圓支撐盤(可包括鋁、陶瓷或其組合)以達到相對高的溫度,如從高達或約100℃加熱到約1100℃或以上。
面板217可以是方錐狀、圓錐形或頂部窄延伸到寬底部的其他類似結構。如圖所示,面板217也可以是平的,且包括用於分配處理氣體的複數個貫通道(through-channel)。根據遠端電漿系統201的使用,電漿產生氣體和/或電漿激發物質可穿過面板217中的複數個孔(如圖2B所示),以用於更均勻地輸送到第一電漿區域215中。
示例性配置可包括使氣體入口組件205向由面板217從第一電漿區域215所分隔的氣體供應區域258開始,使得氣體/物質流過面板217中的孔進入第一電漿區域215中。可選擇結構和操作特徵以防止電漿從第一電漿區域215大量回流到供應區域258、氣體入口組件205和流體供應系統210中。所示絕緣環220位於面板217或腔室的導電頂部與噴頭225這些特徵之間,絕緣環220允許AC電位相對於噴頭225和/或離子抑制器223施加到面板217。絕 緣環220可位於面板217與噴頭225和/或離子抑制器223之間,使得能夠在第一電漿區域中形成電容耦合電漿。擋板亦可位於第一電漿區域215中,或以其他方式與氣體入口組件205耦接,以影響通過氣體入口組件205進入區域的流體流動。
離子抑制器223可包括板或其他幾何形狀,其界定貫穿整個結構的複數個孔,複數個孔經配置抑制離子帶電物質自第一電漿區域215遷移出去,同時允許不帶電的中性或自由基物質通過離子抑制器223進入抑制器和噴頭之間的活化氣體輸送區域。在實施例中,離子抑制器223可包括具有各種孔配置的穿孔板。這些不帶電荷的物質可包括高活性物質,其與較低活性的載氣一起輸送通過孔。如上所述,可減少離子物質遷移通過孔,且在某些情況下可以完全抑制。控制通過離子抑制器223的離子物質的量可有利地增加對與下面的晶圓基板接觸的氣體混合物的控制,這接著可以增加對氣體混合物的沉積和/或蝕刻特性的控制。例如,調整氣體混合物的離子濃度可以顯著改變其蝕刻選擇性,如SiNx:SiOx蝕刻比、Si:SiOx蝕刻比等。在施行沉積的替代實施例中,它還可以改變用於介電材料的保形-可流動式(conformal-to-flowable)沉積的平衡。
離子抑制器223中的複數個孔可經配置控制活化氣體(即離子、自由基和/或中性物質)通過離子抑制器223。例如,可控制孔的深寬比、或孔的直徑到長度、和/ 或孔的幾何形狀,使得通過離子抑制器223的活化氣體中的離子帶電物質的流動減少。離子抑制器223中的孔可包括面向第一電漿區域215的錐形部分,以及面向噴頭225的圓柱形部分。可調整圓柱形部分的形狀和尺寸以控制傳到噴頭225的離子物質的流動。可調節的電偏壓也可施加到離子抑制器223,作為附加構件以控制離子物質通過抑制器的流動。
離子抑制器223可用於減少或消除從電漿產生區域到基板的離子帶電物質的量。不帶電的中性和自由基物質仍然可通過離子抑制器中的開口以與基板反應。應當注意,在實施例中,在基板周圍的反應區域中可不完全消除離子帶電物質。在某些情況下,離子物質旨在到達基板以施行蝕刻和/或沉積製程。在這些情況下,離子抑制劑可幫助將反應區域中的離子物質的濃度控制在有助於該製程的程度。
噴頭225與離子抑制器223組合可允許存在於第一電漿區域215中的電漿避免直接激發基板處理區域233中的氣體,同時仍允許所激發的物質從第一電漿區域215行進到基板處理區域233中。以這種方式,腔室可經配置以防止電漿接觸經蝕刻的基板255。這可以有利地保護在基板上圖案化的各種複雜結構和膜,如果基板上圖案化的各種複雜結構和膜與產生的電漿直接接觸,可能損壞、脫位(dislocate)或以其他方式翹曲。另外,當允許電漿接觸基板或接近基板水平面時,氧化物物質蝕刻的速率可 能增加。因此,如果材料的暴露區域是氧化物,則可藉由使基板保持遠離電漿來進一步保護此材料。
處理系統可進一步包括電源供應240,電源供應240與處理腔室電耦接,以向面板217、離子抑制器223、噴頭225和/或基座265提供電力,以在第一電漿區域215或處理區域233中產生電漿。電源供應可經配置而根據所施行的製程向腔室輸送可調節的功率量。這樣的配置可允許可調諧電漿用於正在施行的製程中。與遠端電漿單元不同,遠端電漿單元通常具有開啟或關閉功能,而可調諧電漿可經配置向電漿區域215輸送特定功率量。這接著可允許發展特定的電漿特性,使得前驅物可以以特定方式解離,以增強這些前驅物產生的蝕刻分佈。
可在噴頭225上方的腔室電漿區域215或噴頭225下方的基板處理區域233中點燃電漿。電漿可存在於腔室電漿區域215中,以從例如含氟前驅物或其他前驅物的入流中產生自由基前驅物。通常在射頻(「RF」)範圍內的AC電壓可施加在處理腔室的導電頂部(如面板217)與噴頭225和/或離子抑制器223之間,以在沉積期間點燃腔室電漿區域215中的電漿。RF電源供應可產生13.56MHz的高RF頻率,但是也可單獨產生其他頻率或者與13.56MHz頻率組合產生其他頻率。
圖2B表示影響通過面板217的處理氣體分佈之特徵的詳盡視圖253。如圖2A和2B所示,面板217、冷卻板203和氣體入口組件205相交以界定氣體供應區域 258,處理氣體可從氣體入口組件205輸送到氣體供應區域258中。氣體可填充氣體供應區域258且通過面板217中的孔259流到第一電漿區域215。孔259可經配置以實質單向的方式引導流動,使得處理氣體可流入處理區域233中,但是可在通過面板217之後部分地或完全地防止回流到氣體供應區域258中。
用於處理腔室系統200的氣體分配組件(如噴頭225)可被稱為雙通道噴頭,且在圖3的實施例中另外詳述。雙通道噴頭可提供蝕刻製程,其允許在處理區域233外部分離蝕刻劑,以在被輸送到處理區域之前提供與腔室部件以及彼此有限的相互作用。
噴頭225可包括上板214和下板216。該等板可彼此耦接以在該等板之間界定容積218。該等板的耦接可提供通過上板和下板的第一流體通道219,以及通過下板216的第二流體通道221。形成的通道可經配置提供從容積218單獨經由第二流體通道221通過下板216的流體通路,且第一流體通道219可與該等板和第二流體通道221之間的容積218流體隔離。容積218可藉由噴頭225的側面流體地接近。
圖3是根據實施例與處理腔室一起使用的噴頭325的底視圖。噴頭325可對應於圖2A所示的噴頭225。(表示第一流體通道219的視圖的)貫通孔365可具有複數個形狀和構造,以控制和影響前驅物通過噴頭225的流動。(表示第二流體通道221的視圖的)小孔375可實質均 勻地分佈在噴頭的表面上,甚至實質均勻地分佈在貫通孔365中,且可有助於在前驅物離開噴頭時提供比其他配置更均勻的前驅物混合。
先前討論的腔室可用於施行示例性方法(包括蝕刻方法),儘管可配置任意數量的腔室以施行在本技術的實施例中使用的一個或多個態樣。轉向圖4,表示根據本技術實施例的方法400中的示例性操作。方法400可以包括在方法開始之前的一個或多個操作,包括前端處理、沉積、蝕刻、拋光、清潔或可以在該操作之前執行的任何其他操作。該方法可包括多個可選操作,其可以或可以不與根據本技術的實施例的方法的一些實施例具體地相關。例如,描述了許多操作以便提供所施行的製程之更廣泛的範圍,但是這些對於本技術不是關鍵的,或者可以藉由替代方法來施行,如下面將進一步討論的。方法400可描述圖5A至5C中示意性所示的操作,將結合方法400的操作描述其圖示。應當理解,圖示僅繪示部分示意圖,且基板可包含任意數量的具有如圖所示的各種特性和態樣的額外材料和特徵。
方法400可涉及或可不涉及將半導體結構發展到特定製造操作的可選操作。應當理解,方法400可在任何數量的半導體結構或基板505上施行,如圖5A所示,其包括可在其上施行含釕材料去除操作的示例性結構。示例性半導體結構可包括溝槽、通孔或其他可包括一個或多個暴露的材料之凹陷特徵。例如,示例性基板可包含矽或一些 其他半導體基板材料以及可形成凹槽、溝槽、通孔或隔離結構的層間介電材料。在蝕刻製程期間的任何時間暴露的材料可以是或可包括金屬材料、一個或多個介電材料、接觸材料、電晶體材料或可用於半導體製程的任何其他材料。
例如,雖然所示為通用層(generic layer),但圖5A可繪示覆蓋基板505或一些其他半導體材料的一層釕510。儘管其餘的揭示內容將提及釕,但應當理解,釕510也可以是氧化釕,無論是天然氧化物或是被氧化的表面。基板505可以說明覆蓋在基板上的一個或多個其他結構上的介電材料,且應當理解,可在所示結構下方形成任意數量的材料。在一些實施例中,介電材料可以是或包括氧化矽,或可藉由其發生圖案化的任何其他氧化物或氮化物。應當理解,所提及的結構並非旨在限制,並且包括含釕材料或其他含金屬材料的各種其他半導體結構中的任何一者都被類似地涵蓋。其他示例性結構可包括在半導體製造中常見的二維和三維結構,並且在其中將相對於一個或多個其他材料去除含釕材料(如釕金屬或氧化的釕),如本技術可相對於其他暴露的材料(如氧化矽、氧化鈦、氧化鎢和其他地方討論的任何其他材料及各種其他暴露的材料)選擇性地去除含釕材料。此外,儘管高深寬比(high-aspect-ratio)結構可受益於本技術,但該技術可同樣適用於較低深寬比和任何其他結構。
在本技術的實施例中,可施行方法400以去除暴露的含釕材料。該方法可包括用於去除釕金屬的特定操 作。儘管剩餘的揭示內容將例行地討論釕和氧化的釕,但是應當理解,可類似地藉由本技術的一些實施例來處理其他金屬。在一些實施例中,該方法可包括多操作蝕刻製程,該蝕刻製程可控制相對於其他暴露材料(如介電材料(例如氧化矽))及任何下面的接觸材料(如可與一個或多個元件結構耦接之結構中使用的導電材料)的釕蝕刻。
如前所述,在釕510的表面上可存在一定量的氧化,且可在進行蝕刻製程之前將其去除。無論氧化是天然氧化物還是來自先前處理(包括方法400的先前循環)的殘留氧化,去除氧化的步驟可促進特定氧化物物質的形成,這可允許在本技術的一些實施例中增加對釕的去除。因此,在一些實施例中,方法400可包括以下步驟:在可選操作405中使基板與含氫前驅物接觸。氫可減少氧化產生的水蒸氣,該水蒸氣可從腔室中淨化,並使釕的表面暴露在基板上。
方法400可包括以下步驟:在操作410將含氧前驅物流入容納該基板的半導體處理腔室中。在一些實施例中,含氧前驅物可直接流動以接觸基板,但在一些實施例中,電漿可由含氧前驅物形成。電漿可遠端地形成,或者在容納基板的半導體處理腔室的處理區域內形成。含氧前驅物可流過處理腔室的遠端電漿區域(如上述區域215),且可由含氧前驅物形成電漿以產生電漿流出物。儘管可產生基板級(substrate-level)電漿,但是在一些實施例 中,電漿可以是遠端電漿,這可保護暴露的基板材料免受可能由於基板級電漿而發生的離子轟擊。
無論是否電漿增強,在操作410,含氧前驅物或含氧前驅物的電漿流出物可被輸送到基板處理區域,在基板處理區域中流出物可接觸包括暴露的含釕材料的半導體基板,例如在操作415的釕的暴露區域。該接觸的步驟可(如藉由轉化基板上暴露的釕)來產生氧化的材料,如釕上的氧化表面或氧化釕材料。在一些實施例中,當形成電漿時,在氧化之後,可使電漿消退(extinguish),以及可淨化腔室。如圖5A所示,氧材料或氧電漿流出物515可流動以接觸暴露的釕510,這可將釕510的暴露表面轉化成氧化的釕材料520,如圖5B所示。
本技術可控制氧化期間的一個或多個條件以產生釕的特定氧化物物質。例如,在本技術的一些實施例中,可施行接觸操作以沿基板表面產生一定量的四氧化釕。藉由生產四氧化釕(如代替二氧化釕),本技術可允許相對於基板上的許多其他暴露材料來選擇性地去除釕。例如,與具有可能在處理期間限制去除的緻密結構之二氧化釕不同,四氧化釕的特點可以是沸點相對較低。因此,當可施行釕的氧化以產生四氧化釕時,可使產生的材料從基板的表面汽化。因此,在一些實施例中,方法400可包括以下步驟:在操作420,從基板汽化至少一部分四氧化釕以從下面的釕或基板材料中去除揮發性材料。如圖5B所示,由於處理條件,四氧化釕525可從結構的表面汽化。
例如,方法400可在足以使產生的四氧化釕從表面汽化的溫度下施行。因此,在一些實施例中,方法400可在大於或約為60℃的溫度下施行,且可在以下各溫度下施行:大於或約為80℃、大於或約為100℃、大於或約為110℃、大於或約為120℃、大於或約為130℃、大於或約為140℃、大於或約為150℃或更高。然而,隨著溫度升高,氧化製程可轉變為產生更高密度的二氧化釕,由於沸點顯著升高,二氧化釕可能不會汽化。因此,在一些實施例中,該方法可在小於或約為170℃的溫度下施行,且可在以下各溫度下施行:小於或約為160℃、小於或約為150℃或更低。藉由將溫度保持在足以允許四氧化釕汽化的窗(window)內,在保持足夠低的溫度以限制或防止二氧化釕的產生之同時,本技術可允許從基板去除釕。
有利地,由於許多其他材料可能不會在方法400的操作溫度下(如在約100℃和約150℃之間)汽化,該方法可選擇性地去除釕,同時基本上或完全保持其他暴露的材料,其包括矽氧化物、氧化鈦、氧化鎢或其他材料。暴露的金屬也可藉由方法400保持,方法400可僅氧化材料的表面,但不會導致材料被蝕刻。如上所述,在一些實施例中,方法400可不包括電漿增強含氧前驅物,且可在保持無電漿環境的同時施行該方法。在一些實施例中,這可進一步限制其他暴露材料的損壞或去除。
在氧化操作之後,可停止氧氣材料的輸送。基於釕的氧化,殘留的氧化的釕可能會在四氧化釕汽化後保 留,且其可以不是揮發性物質。這可能會限制蝕刻連續地進行,或者可能會減慢蝕刻製程。因此,在一些實施例中,該方法可包括以下步驟:在繼續輸送含氧前驅物之前,使殘留的氧化的釕與含氫前驅物接觸。這可產生揮發性水蒸氣,其可從處理腔室中淨化,並可將表面還原(reduce)為釕。如圖5B所示的含氫材料520可流向如圖5C所示的殘留的氧化的釕材料527,這可將表面還原為釕或去除氧化的材料,如圖5A所示,儘管量較少,或其可完成去除操作。該接觸的步驟可類似於或等同於如前所述之可選操作405的氫預處理。因此,在一些實施例中,該方法可以與氫處理一起開始和/或結束。可在一個或多個循環中重複該製程以從基板去除額外的釕層。
在每個兩步驟操作期間的前驅物可包括含氧前驅物,在一些實施例中其可包括任何含氧材料。例如,非限制性的含氧前驅物可包括雙原子氧、臭氧、水、醇、過氧化氫、一氧化二氮或任何其他含氧材料。含氫材料可以是或包括雙原子氫或任何其他含氫材料,其包括如包含氧或氮的含氫材料。前驅物還可與任何數量的額外前驅物或載體氣體(包括氮、氬、氦或任何數量的額外材料)一起流動,儘管在一些實施例中,前驅物可能限於控制副反應或可能影響選擇性的其他態樣。
如前所述,處理條件可能影響和促進根據本技術的蝕刻。除了溫度之外,腔室內的壓力也可能影響所施行的操作以及影響可從釕表面發展出四氧化釕的溫度。因 此,在一些實施例中,壓力可維持在大於或約為1托的壓力,且可維持在以下壓力:大於或約為2托、大於或約為3托、大於或約為4托、大於或或約為5托、大於或約為6托、大於或約為7托、大於或約為8托、大於或約為9托、大於或約為10托或更高。壓力也可維持在這些範圍內、這些範圍所包含的較小範圍內或這些範圍中的任何一個之間的任何壓力。
本技術還可提供用於從半導體基板去除釕的額外機制。轉到圖6,表示根據本技術實施例的用於蝕刻含釕材料的方法600。方法600可描述圖7A至7C中示意性所示的操作,將結合方法600的操作描述其圖示。應當理解,圖示僅繪示部分示意圖,且基板可包含任意數量的具有如圖所示的各種特性和態樣的額外材料和特徵。
方法600可涉及或可不涉及將半導體結構發展到特定製造操作的可選操作。另外,方法600可包括前面關於方法400討論的任何或所有操作,且可包括上面討論的任何製程條件。應當理解,方法600可在任何數量的半導體結構或基板705上施行,如圖7A所示,且如上面針對方法400所討論的。可包括先前提到的或用於半導體處理的任何基板,其中基板包括暴露在基板上的一層含釕材料710,如釕金屬。
與方法400類似,釕可具有殘留的氧化的材料、天然氧化物或可在可選操作605中去除的其他態樣,這可如上文關於操作405所討論的那樣。在操作610,含氧前驅 物可流入處理腔室。如上面關於操作410所討論的,含氧前驅物可以或可以不進行電漿增強,且含氧前驅物可以是前面提到的任何材料。含氧前驅物或含氧前驅物的電漿流出物可在操作615接觸基板,且可接觸暴露的釕。如圖7A所示,含氧材料715可接觸含釕材料710。如圖7B所示,可輸送含氧材料以在釕表面上產生四氧化釕720。
方法400可提供允許從釕材料中發展出(evolve)揮發性的四氧化釕,而可施行方法600以藉由將四氧化釕保持在基板表面上以去除受控的、隱蔽式釕層,如圖7B所示。例如,方法600可在上面討論的任何處理條件下施行,如關於方法400。此外,在一些實施例中,方法600可在低於四氧化釕的汽化溫度之溫度下施行。例如,方法600可在小於或約為50℃的溫度下施行,且可在以下溫度下施行:小於或約為40℃、小於或約為30℃、小於或約為25℃、小於或約為20℃、小於或約為15℃、小於或約為10℃、小於或約為5℃、小於或約為0℃或更低。這可允許四氧化釕層形成並保留在釕的表面上。
在操作620,該方法可包括以下步驟:用惰性前驅物施行粒子處理。電漿可形成在半導體處理腔室的遠端部分中,或者可形成在半導體處理腔室的處理區域內。儘管可使用任何數量的反應性材料,但在一些實施例中,可使用惰性前驅物(如氬、氦或一些其他材料)並將其輸送到基板。例如,可使用偏壓來將電漿流出物引導到基板表面,例如,基板表面可作為腔室內的陰極來操作。電漿流 出物可接觸四氧化釕,這可提供足夠的能量以從釕表面釋放四氧化釕,且可在操作625去除材料。如圖7C所示,惰性流出物725可接觸基板表面,並導致四氧化釕730從表面釋放,且可從處理腔室中淨化。這可從基板去除一釕層,並可暴露出額外的釕。
藉由在表面上保持四氧化釕的層,可控制額外的氧化,且這可允許分層的(layered)蝕刻製程。該方法可重複任意次數以去除所需量的釕。如上所述,在釋放操作之後,可能不是四氧化釕的額外氧化材料可保留在基板表面上。可如上所述施行包括使殘留材料與氫或氫流出物接觸的額外操作,以將表面還原為釕。因此,如上所述,且對於根據本技術的任何方法,在每個循環期間,該等循環可包括也可不包括氫處理,或者某些循環可包括氫處理,而其他循環可直接進行額外的氧接觸。
當在一種或兩種操作期間使用電漿流出物時(如使用含氧前驅物和/或惰性電漿產生),電漿功率可保持在小於或約為500W。藉由保持較低的電漿功率,可控制釕下方的濺射,且相互作用可限於表面物理反應,這可更好地限制通過含釕材料的去除程度。因此,在所討論的任何形成電漿的方法的一些實施例中,電漿功率可保持在小於或約為450W、小於或約為400W、小於或約為350W、小於或約為300W、小於或約為250W、小於或約為200W、小於或約為150W、小於或約為100W或更低。藉由施行根據本技術的實施例的蝕刻操作,可施行實質自限制的去除操 作以精確地去除釕,且可在可限制去除基板上額外暴露的材料的溫度下施行該操作。
在前面的描述中,出於解釋的目的,已經闡述了許多細節以便提供對本技術的各種實施例的理解。然而,對於本發明所屬領域中具有通常知識者將顯而易見的是,可在沒有這些細節中的部分細節或具有其他細節的情況下實踐某些實施例。
已經揭露了若干實施例,本發明所屬領域中具有通常知識者將認識到,在不背離實施例的精神的情況下,可使用各種修改、替代構造和等效物。另外,為了避免不必要地混淆本技術,沒有描述許多習知的製程和元件。因此,以上描述不應被視為限制本技術的範圍。另外,方法或製程可以依順序或步驟描述,但是應當理解,可同時施行該等操作,或者以與所列順序不同的順序施行該等操作。
在提供一數值範圍時,應理解的是,除非在上下文有另外明確指出,否則在該範圍之上下限之間的各個中間值,小至下限之最小單元分數也經特定地揭露。在任何指明數值之間的任何更小範圍、或在一指明範圍中的未指明中間值以及在該所指明範圍中任何其他指明數值或中間值,均被涵蓋。該等較小範圍的上下限可獨立地被包括或被排除在範圍中,且各個範圍(無論上下限之一者或無或兩者同時被包括在該等較小範圍中)也被涵蓋在本技術內,除非在該指明範圍中也任何被特定地排除的限制。當 所陳述之範圍包括該等極限值的其中一者或兩者,則也包括將那些所含極限值的任一者或二者排除的範圍。
除非上下文另外明確指出,如在本說明書及隨附之申請專利範圍中所使用者,單數型態「一個」、「一」及「該」包括複數指稱。因此,例如,指稱「一前驅物」包括複數個此種前驅物,而指稱「該層」包括對一或多個層及本發明所屬中具有通常知識者習知的等效物的指稱等。
再者,「包括」、「含有」、「含」及「包含」等詞,當被使用於本說明書及以下申請專利範圍中時,意圖指定所述特徵、整數、部件、或操作之存在,但該等用詞不排除一個或多個其他特徵、整數、部件、操作、動作、或群組的存在或增加。
400:方法
405:操作
410:操作
415:操作
420:操作

Claims (16)

  1. 一種蝕刻方法,包括以下步驟:使含氧前驅物流入一半導體處理腔室的一處理區域中;使容納在該處理區域中的一基板與該含氧前驅物接觸,其中該基板包含暴露的釕區域,且其中該接觸步驟產生四氧化釕;使該四氧化釕從暴露的該釕區域的一表面汽化,其中保留一定量的氧化的釕(oxidized ruthenium);使該氧化的釕與含氫前驅物接觸;及去除該氧化的釕,其中在保持無電漿環境的同時施行該蝕刻方法。
  2. 如請求項1所述之蝕刻方法,其中該處理區域內的一溫度保持在大於或約為100℃。
  3. 如請求項2所述之蝕刻方法,其中該處理區域內的一溫度保持在小於或約為150℃。
  4. 如請求項1所述之蝕刻方法,其中該含氫前驅物包括雙原子氫。
  5. 如請求項1所述之蝕刻方法,進一步包括以下額外操作:在使該含氧前驅物流動之前,使該基板與該含氫前驅物接觸,其中該含氫前驅物暴露該釕區域。
  6. 如請求項1所述之蝕刻方法,其中去除該氧化的釕的步驟暴露額外的釕,且其中該方法重複一額外 的循環。
  7. 如請求項1所述之蝕刻方法,其中相對於氧化矽、氧化鈦或氧化鎢的一暴露區域選擇性地去除該氧化的釕。
  8. 如請求項1所述之蝕刻方法,其中該半導體處理腔室內的一壓力維持在大於或約為1托(Torr)。
  9. 一種蝕刻方法,包括以下步驟:使含氧前驅物流入一半導體處理腔室的一處理區域中;使容納在該處理區域中的一基板與該含氧前驅物接觸,其中該基板包含暴露的釕區域,且其中該接觸步驟產生四氧化釕;在該半導體處理腔室的該處理區域內用一惰性前驅物施行一粒子處理,其中該惰性前驅物包含氬或氦;使該四氧化釕與該惰性前驅物接觸;及去除該四氧化釕,其中在保持無電漿環境的同時施行該蝕刻方法。
  10. 如請求項9所述之蝕刻方法,其中該半導體處理腔室內的一溫度維持在小於或約為25℃。
  11. 如請求項9所述之蝕刻方法,其中電漿由該惰性前驅物形成,且其中用於形成該電漿之一電漿功率保持在小於或約為500W。
  12. 如請求項9所述之蝕刻方法,其中去除該四氧化釕的步驟暴露額外的釕,且其中該方法重複一額外 的循環。
  13. 如請求項9所述之蝕刻方法,進一步包括以下額外操作:在使該含氧前驅物流動之前,使該基板與含氫前驅物接觸,其中該含氫前驅物暴露該釕區域。
  14. 一種蝕刻方法,包括以下步驟:使設置在一半導體處理腔室的一處理區域內的一基板與含氫前驅物接觸,其中該接觸的步驟暴露該基板上的釕金屬;使含氧前驅物流入該半導體處理腔室的該處理區域中;使暴露在該基板上的該釕金屬與該含氧前驅物接觸,其中使暴露在該基板上的該釕金屬與該含氧前驅物接觸的步驟產生四氧化釕;使該四氧化釕從該釕金屬的一表面汽化,其中保留一定量的氧化的釕;使該氧化的釕與含氫前驅物接觸;及去除該氧化的釕,其中在保持無電漿環境的同時施行該蝕刻方法。
  15. 如請求項14所述之蝕刻方法,其中該處理區域內的一溫度保持在小於或約為150℃。
  16. 如請求項14所述之蝕刻方法,進一步包括以下步驟:該方法重複至少一個額外的循環。
TW111115140A 2021-04-26 2022-04-21 含釕材料的選擇性移除法 TWI837647B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/240,149 US11798813B2 (en) 2021-04-26 2021-04-26 Selective removal of ruthenium-containing materials
US17/240,149 2021-04-26

Publications (2)

Publication Number Publication Date
TW202247283A TW202247283A (zh) 2022-12-01
TWI837647B true TWI837647B (zh) 2024-04-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020223152A1 (en) 2019-04-29 2020-11-05 Lam Research Corporation Atomic layer etching for subtractive metal etch

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020223152A1 (en) 2019-04-29 2020-11-05 Lam Research Corporation Atomic layer etching for subtractive metal etch

Similar Documents

Publication Publication Date Title
US10573527B2 (en) Gas-phase selective etching systems and methods
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
US9412608B2 (en) Dry-etch for selective tungsten removal
TWI674628B (zh) 氮化鈦移除
WO2014113177A1 (en) Dry-etch for selective tungsten removal
KR101226274B1 (ko) 카본 하드마스크층 형성방법 및 이를 이용한 반도체소자의 패턴 형성방법
TW201941300A (zh) 形成氣隙的系統及方法
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
TWI837647B (zh) 含釕材料的選擇性移除法
TWI785783B (zh) 用於選擇性金屬化合物移除之系統及方法
KR20240027850A (ko) 금속 산화물 방향성 제거
TW201903966A (zh) 自對準通孔處理流程
US11798813B2 (en) Selective removal of ruthenium-containing materials
TWI774754B (zh) 自對準觸點與閘極處理流程
US11488835B2 (en) Systems and methods for tungsten-containing film removal
TWI829231B (zh) 過渡金屬氮化材料的選擇性移除
TW202336862A (zh) 高深寬比特徵中的金屬沉積及蝕刻