CN109075030B - 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺 - Google Patents

用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺 Download PDF

Info

Publication number
CN109075030B
CN109075030B CN201780027736.XA CN201780027736A CN109075030B CN 109075030 B CN109075030 B CN 109075030B CN 201780027736 A CN201780027736 A CN 201780027736A CN 109075030 B CN109075030 B CN 109075030B
Authority
CN
China
Prior art keywords
plasma
plasma processing
chamber
containing gas
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780027736.XA
Other languages
English (en)
Other versions
CN109075030A (zh
Inventor
张�林
路雪松
安德鲁·V·勒
吴昌锡
鑫海·韩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109075030A publication Critical patent/CN109075030A/zh
Application granted granted Critical
Publication of CN109075030B publication Critical patent/CN109075030B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本公开内容的实施方式包括用于半导体基板制造工艺的等离子体处理腔室的原位腔室清洁效率提高工艺的方法。在一个实施方式中,用于在清洁等离子体工艺之后执行等离子体处理工艺的方法包括以下步骤:在没有设置在等离子体处理腔室上的基板的情况下,在等离子体处理腔室中执行清洁工艺;随后将包括至少含氢气体和/或含氧气体的等离子体处理气体混合物供应到等离子体处理腔室中;将RF源功率施加到处理腔室,以由等离子体处理气体混合物形成等离子体;和等离子体处理处理腔室的内表面。

Description

用于在等离子体处理腔室中的原位腔室清洁效率提高的等离 子体处理工艺
背景
技术领域
本公开内容的实施方式大致涉及用于原位提高等离子体处理腔室的清洁效率的方法和设备。特别地,本公开内容的实施方式涉及用于在等离子体工艺之后执行以原位提高等离子体处理腔室的清洁效率的等离子体处理工艺的方法和设备。
背景技术
半导体处理涉及许多不同的化学和物理工艺,通过这些化学和物理工艺在基板上产生精密的集成电路。通过化学气相沉积、物理气相沉积、外延生长、化学处理、电化学工艺等等而产生构成集成电路的材料层。使用光刻胶掩模和湿式或干式蚀刻技术将一些材料层图案化。用于形成集成电路的基板可以是硅、砷化镓、磷化铟、玻璃或其他合适的材料。
典型的半导体处理腔室包括限定工艺区的腔室主体;适于将气体从气源供应到工艺区中的气体分配组件;用于激发工艺气体以处理位于基板支撑组件上的基板的气体激发器(如,等离子体发生器),;和排气装置。在等离子体处理期间,激发的气体通常包含离子、自由基和高反应性物质,高反应性物质蚀刻并腐蚀处理腔室部件的暴露部分,例如在处理期间保持基板的静电吸盘。此外,处理副产物通常沉积在腔室部件上,腔室部件必须周期性地通常用高反应性氟清洁。因此,为了保持处理腔室的清洁度,执行周期性清洁工艺以从处理腔室移除副产物。沉积在腔室部件或腔室内壁上的副产物被周期性地通常用高反应性化学物质清洁。在处理和清洁期间,来自反应性物质的侵蚀(attack)缩短腔室部件的使用寿命并增加维修频率。此外,来自腔室部件的被腐蚀部分的片(flake)(诸如氟化铝(AlF))可能在基板处理期间成为颗粒污染物的来源。而且,在清洁工艺期间在相对高温的部件表面上所形成的AlF3可升华而稍后在清洁工艺之后沉积在温度相对低的腔室部件的表面(诸如喷头)上。此种残留沉积物可能导致过早的腔室部件故障和频繁的腔室保养。因此,在处理和清洁期间促进腔室部件的等离子体抗性和减少对腔室部件的损伤是理想的,以延长处理腔室的使用寿命、减少腔室停机时间、降低保养频率并提升产品产量。
因此,需要用于维持处理腔室的清洁度以及腔室部件的完整性以延长腔室部件的寿命的改进的工艺。
发明内容
本公开内容的实施方式包括用于半导体基板制造工艺的等离子体处理腔室的原位腔室清洁效率提高工艺的方法。在一个实施方式中,用于在清洁等离子体工艺之后执行等离子体处理工艺的方法包括以下步骤:在没有设置在等离子体处理腔室中的基板的情况下,在等离子体处理腔室中执行清洁工艺;随后将包括至少含氢气体和/或含氧气体的等离子体处理气体混合物供应到等离子体处理腔室中;将RF源功率施加到处理腔室,以由等离子体处理气体混合物形成等离子体;和等离子体处理处理腔室的内表面。
在另一个实施方式中,一种用于原位腔室清洁的方法包括以下步骤:在没有设置在等离子体处理腔室中的基板的情况下,在等离子体处理腔室中执行清洁工艺;在处理腔室中原位执行等离子体处理工艺;和在处理腔室中的等离子体处理工艺之后执行陈化工艺,其中清洁工艺、等离子体处理工艺和陈化工艺由整合在等离子体处理腔室中的单个配方控制。
在又一实施方式中,一种用于在清洁等离子体工艺之后执行等离子体处理工艺的方法包括以下步骤:在等离子体处理腔室中供应从远程等离子体源供应的包括含氟气体的清洁气体混合物;供应包括含氧气体和含氢气体的等离子体处理气体混合物,以从在等离子体处理气体混合物中产生的RF源功率形成等离子体,以从处理腔室的内表面移除金属污染物;和供应陈化膜气体混合物,以在等离子体处理腔室的内表面上形成陈化层。
附图说明
为了可详细理解本公开内容上述的特征的方式,可通过参照实施方式而获得简要概述于上的本公开内容的更具体的说明,其中一些实施方式在附图中图示。然而,应当注意附图仅图示本公开内容的典型实施方式,因此不应被视为对本公开内容范围的限制,因为本公开内容可允许其他等效的实施方式。
图1描绘根据本公开内容的一个实施方式的等离子体处理腔室的示意图;和
图2描绘根据本公开内容的一个实施方式用于在清洁工艺之后执行等离子体处理工艺的方法的流程图。
为促进理解,已尽可能使用相同的参考数字指示附图共有的相同元件。设想在一个实施方式中公开的元件可有利地用于其他实施方式,而无需特定的叙述。
具体实施方式
本公开内容的实施方式提供用于提高在等离子体处理腔室中执行的原位清洁工艺的清洁效率的方法和设备。在一个实例中,可通过在腔室清洁工艺之后执行等离子体处理工艺来从处理腔室移除工艺副产物残余物而提高清洁工艺的清洁效率。在等离子体处理期间所使用的等离子体处理气体混合物的一个实例包括含氢气体混合物(诸如H2气体)和/或含氧气体混合物。在等离子体处理工艺之后,可接着执行陈化工艺,以在处理腔室的内表面上涂覆陈化层。
图1是适于执行可用作半导体装置制造的半导体互连结构的等离子体工艺的等离子体处理系统132的横截面图。处理系统132可以是可从加利福尼亚州圣克拉拉市的应用材料公司获取的适合的
Figure GDA0001852430480000031
SE或/>
Figure GDA0001852430480000032
GT或/>
Figure GDA0001852430480000033
XP处理系统。设想其他处理系统(包括由其他制造商生产的那些处理系统)可受益于本文所述的实施方式。
处理系统132包括腔室主体151。腔室主体151包括限定内部容积126的盖125、侧壁101和底壁122。
基板支撑基座150设在腔室主体151的内部容积126中。基座150可由铝、陶瓷、氮化铝和其他合适的材料制成。在一个实施方式中,基座150由陶瓷材料(诸如氮化铝)制成,氮化铝是适合用于在高温环境(诸如等离子体处理环境)中使用的材料,而不会对基座150造成热损伤。基座150可使用升降机构(未示出)在腔室主体151内部在竖直方向中移动。
基座150可包括适于控制被支撑在基座150上的基板190的温度的嵌入式加热器元件170。在一个实施方式中,可通过将电流从电源106施加到加热器元件170而电阻式加热基座150。在一个实施方式中,加热器元件170可由封装在镍-铁-铬合金(如,
Figure GDA0001852430480000041
)鞘管(sheath tube)中的镍铬丝制成。通过控制器110调节从电源106供应的电流,以控制由加热器元件170产生的热量,从而在膜沉积期间以任何合适的温度范围将基板190和基座150维持在大体上恒定的温度。在另一个实施方式中,基座可根据需要而维持在室温。在又一个实施方式中,根据需要,基座150也可包括冷却器(未示出),以根据需要以低于室温的范围冷却基座150。可调节所供应的电流,以选择性地将基座150的温度控制在约摄氏100度至约摄氏700度之间。
温度传感器172(诸如热电偶)可嵌入在基板支撑基座150中,以传统的方式监测基座150的温度。控制器110使用所测量的温度以控制供应给加热器元件170的功率,以将基板维持在期望的温度。
基座150通常包括通过基座150而设置的多个升降杆(未示出),升降杆经配置以从基座150升降基板190,并有助于以传统方式用机械手(未示出)更换基板190。
基座150包含用于将基板190保持在基座150上的至少一个电极192。电极192由吸附功率源108驱动,以产生将基板190保持于基座表面的静电力,如同传统上已知的。或者,基板190可通过夹紧、真空或重力而保持于基座150。
在一个实施方式中,基座150被配置为具有嵌入在基座150中的电极192的阴极,电极192耦合到至少一个RF偏压功率源,在图1中示出为两个RF偏压功率源184、186。尽管图1所描绘的实例示出两个RF偏压功率源184、186,应注意RF偏压功率源的数量可根据需要而为任意数量。RF偏压功率源184、186耦合在设置在基座150中的电极192和另一个电极(诸如处理系统132的气体分配板142或盖125)之间。RF偏压功率源184、186激发并维持由设置在处理系统132的处理区域中的气体形成的等离子体放电。
在图1中描绘的实施方式中,双RF偏压功率源184、186通过匹配电路104耦合到设置在基座150中的电极192。由RF偏压功率源184、186产生的信号通过匹配电路104,并通过单个馈送(feed)而传输到基座150,以离子化在等离子体处理系统132中所提供的气体混合物,从而提供用于执行沉积或其他等离子体增强工艺所需的离子能量。RF偏压功率源184、186通常能够产生具有从约50kHz至约200MHz的频率和在约0瓦和约5000瓦之间的功率的RF信号。
真空泵102耦接到形成在腔室主体151的底部122中的口。真空泵102用于在腔室主体151中维持期望的气体压力。真空泵102也排空来自腔室主体151的后处理(post-processing)气体和工艺的副产物。
处理系统132包括通过处理系统132的盖125耦合的一个或多个气体输送通道144。气体输送通道144和真空泵102位于处理系统132的相对端处,以在内部容积126内引起层流,以最小化颗粒污染。
气体输送通道144通过远程等离子体源(RPS)148而耦接到气体面板193,以将气体混合物提供到内部容积126中。在一个实施方式中,通过气体输送通道144供应的气体混合物可进一步通过设置在气体输送通道144下方的气体分配板142而输送。在一个实例中,具有多个孔143的气体分配板142耦接到在基座150上方的腔室主体151的盖125。气体分配板142的孔143用于将来自气体面板193的工艺气体引入到腔室主体151中。孔143可具有不同的尺寸、数量、分布、形状、设计和直径,以有助于针对不同的工艺需求的各种工艺气体的流动。等离子体由离开气体分配板142的工艺气体混合物形成,以增强工艺气体的热分解,引起材料沉积在基板190的表面191上。
气体分配板142和基板支撑基座150可在内部容积126中形成一对间隔开的电极。一个或多个RF源147通过匹配网络145提供偏压电位到气体分配板142,以促进在气体分配板142和基座150之间产生等离子体。或者,RF源147和匹配网络145可耦合到气体分配板142、基板支撑基座150,或耦合到气体分配板142和基板支撑基座150两者,或耦合到设置在腔室主体151外部的天线(未示出)。在一个实施方式中,RF源147可以约30kHz到约13.6MHz的频率而在约10瓦和约3000瓦之间提供。或者,RF源147可以是向气体分配板142提供微波功率的微波发生器,微波发生器帮助在内部容积126中产生等离子体。
可从气体面板193供应的气体的实例可包括含硅气体、含氟气体、含氧气体、含氢气体、惰性气体和载气。反应气体的合适实例包括含硅气体(诸如SiH4、Si2H6、SiF4、SiH2Cl2、Si4H10、Si5H12、正硅酸乙酯(TEOS)和类似物)。合适的载气包括氮(N2)、氩(Ar)、氢(H2)、烷烃、烯烃、氦(He)、氧(O2)、臭氧(O3)、水蒸气(H2O)和类似物。
在一个实施方式中,远程等离子体源(RPS)148可替代地耦接到气体输送通道144,以帮助由从气体面板193供应到内部容积126中的气体形成等离子体。远程等离子体源148将由通过气体面板193提供的气体混合物形成的等离子体提供到处理系统132。
控制器110包括中央处理单元(CPU)112、存储器116和支持电路114,用于控制处理顺序并调节从气体面板193流动的气体。CPU 112可以是可在工业环境中使用的任何形式的通用计算机处理器。软件程序可储存在存储器116中,诸如随机存取存储器、只读存储器、软盘或硬盘驱动或其他形式的数字存储装置。支持电路114传统地耦接到CPU 112,并可包括高速缓存、时钟电路、输入/输出系统、电源和类似物。在控制器110和处理系统132的各种部件之间的双向通信通过统称为信号总线118的多个信号缆线而处理,在图1中图示多个信号缆线中的一些。
图2图示用于在清洁等离子体处理腔室(诸如图1中描绘的等离子体处理系统132)之后提高清洁效率的方法200。方法200包括可根据本公开内容的实施方式将清洁效率提高工艺整合在单个清洁步骤(如,单个清洁配方)中的原位腔室清洁工艺。
方法200通过在等离子体处理腔室中执行清洁工艺而开始于操作202。在等离子体处理系统132可能闲置一段时间之后或在等离子体处理系统132中执行等离子体工艺(包括沉积、蚀刻、溅射或任何等离子体相关的工艺)之后,可执行清洁工艺以移除腔室残留物或其他污染物。由于等离子体处理腔室的内部体积(所述内部体积包括腔室壁、基板基座或设置在等离子体处理系统132中的其他部件)可具有先前的等离子体工艺留在所述内部体积上的膜累积、副产物或污染物,或者当闲置或等离子体处理时从腔室内壁落下的片,可在从处理系统132移除基板之后,或在将基板提供到等离子体处理腔室中之前执行清洁工艺,以清洁等离子体处理腔室的内表面,以用于随后的处理。
清洁工艺从等离子体处理腔室的内部体积移除累积的污染物和/或膜,从而防止在随后的等离子体工艺期间不希望的颗粒落在设置在基板基座上的基板上。当在操作202执行清洁工艺时,在等离子体处理系统132中不存在基板,如不存在设置在等离子体处理系统132中的基板。主要执行清洁工艺以清洁等离子体处理系统132中的腔室部件或内壁/结构。在一些情况中,可根据需要在处理腔室中设置虚设(dummy)基板(诸如在基板上未设置膜堆叠结构的洁净的硅基板),以保护基板基座的表面。
在一个实例中,通过将清洁气体混合物供应到处理系统132以清洁等离子体处理腔室的内部体积来执行清洁工艺。清洁气体混合物包括至少含氟气体和惰性气体。在一个实施方式中,在清洁气体混合物中使用的含氟气体可选自由NF3、SF6、HF、CF4和类似物组成的群组。惰性气体可以是He或Ar和类似物。在一个实例中,在清洁气体混合物中供应的含氟气体是NF3气体,并且惰性气体是Ar。
在操作202的清洁工艺期间,可控制若干工艺参数。在一个实施方式中,远程等离子体源(图1中描绘的RPS源148)可在约5000瓦和约20000瓦之间(诸如约10000瓦)供应给等离子体处理系统132。可在具有或不具有RF源和偏压功率的情况下将RPS功率施加到处理腔室。处理腔室的压力可被控制在小于10托的压力范围内,诸如在约0.1托和约10托之间,例如约4托。相信在清洁工艺期间的低压控制可实现清洁反应的自发。
在清洁气体混合物中供应的含氟气体可以在约1sccm至约12000sccm之间(例如约2800sccm)的流量被供应到处理腔室中。在清洁气体混合物中供应的惰性气体可以在约1sccm至约300sccm的流量(例如约500sccm)被供应到处理腔室中。
在操作204处,在操作202的清洁工艺之后,接着执行等离子体处理工艺,以在处理腔室中所执行的等离子体工艺的另一循环之前移除残留在处理系统132中的残余物。如上文论述的,来自清洁工艺的不希望的残余物(诸如由腔室部件的过度清洁产生的腔室片)可能在处理腔室中产生或残留。可执行操作204的等离子体处理工艺,以帮助从处理系统132移除此类残余物,特别是氟化铝(AlF3)或其他污染物,以提高处理系统132的清洁度。
实验结果表明,来自等离子体处理气体混合物的氢和氧元素(特别是氢元素)帮助与存在于处理腔室中的含金属污染物(诸如氟化铝(AlF3))反应,以有效地移除此类来自等离子体处理腔室的内部体积的含金属污染物。
使用由等离子体处理气体混合物形成的等离子体来等离子体处理处理系统132的内表面,以有效地与氟化铝(AlF3)或其他污染源反应。污染物(诸如AlF3)被激发成激发态(诸如自由基形式),然后可容易地与等离子体处理气体混合物反应,形成挥发性气体副产物(诸如AlH3或HF*),挥发性气体副产物易于从处理系统132抽出。在一个实例中,等离子体处理气体混合物可包括至少一种含氢气体和/或含氧气体。在另一个实例中,等离子体处理气体混合物可包括历经多个循环交替地供应含氢气体和含氧气体,以执行等离子体处理工艺。当在等离子体处理气体混合物中交替地供应含氢气体和含氧气体时,含氢气体和含氧气体可在具有或不具有惰性气体(诸如He或Ar)的情况下而分别并单独地供应。
含氢气体的合适实例包括H2、H2O、NH3、N2H2和类似物。含氧气体的合适实例包括O2、H2O、O3、H2O2、N2O、NO2、CO、CO2和类似物。在一个具体实例中,也可将载气或惰性气体供应到等离子体处理气体混合物中。载气的合适实例包括氮(N2)、氢(H2)和类似物,并且惰性气体的合适实例包括He或Ar。
在一个具体实例中,在等离子体处理气体混合物中使用的含氢气体是H2或NH3。在等离子体处理气体混合物中使用的含氧气体是N2O或O2。在等离子体气体混合物中使用的载气是N2,并且在等离子体处理气体混合物中使用的惰性气体是Ar。
相信在等离子体处理工艺期间包括在等离子体处理气体混合物中的含氢气体提供大量的氢元素,含氢气体与在含金属的污染物(诸如氟化铝)中的氟元素反应,形成挥发性气体副产物(诸如AlH3或HF),挥发性气体副产物易于从处理系统132抽出。随后,挥发性气体副产物(诸如AlH3)可在处理腔室中进一步分解为Al*和/或H2气体。此外,来自含氧气体的氧元素可接着与活性金属污染物(诸如铝活性物质(诸如Al*或Al-))反应,以形成金属氧化物(诸如氧化铝(Al2O3)),从而钝化在腔室部件的表面上的薄层,以防止腔室部件的表面受到进一步的损坏或侵蚀。因此,通过利用包括至少含氢气体和含氧气体的等离子体处理气体混合物,可有效地清洁处理腔室的内表面。
在一些实施方式中,可在等离子体处理气体混合物中供应惰性气体(诸如Ar或He)或载气(诸如N2或N2O)。相信在等离子体处理气体混合物中供应的惰性气体可有助于延长由等离子体处理气体混合物形成的等离子体中的离子的寿命。离子的延长的寿命可有助于更彻底地使氟化铝(AlF3)或其他污染源反应和活化,从而增强从处理系统132移除氟化铝(AlF3)或其他污染源。
在操作204的等离子体处理工艺期间,可控制若干工艺参数。在一个实施方式中,RF源功率(诸如通过RF源147提供的功率)可在约50瓦和约2500瓦之间(诸如约750瓦)供应到等离子体处理系统132。可在具有或不具有RPS功率或RF源偏压功率的情况下将RF源功率施加到处理腔室。处理腔室的压力可控制在小于10托的压力范围,诸如在约0.1托和约10托之间,诸如约4.5托。
在等离子体处理气体混合物中所供应的含氢气体可以在约1sccm和约5000sccm之间(例如约700sccm)的流量供应到处理腔室中。在等离子体处理气体混合物中所供应的惰性气体(诸如Ar气体)可以在约100sccm至约8000sccm之间(例如约3600sccm)的流量供应到处理腔室中。在等离子体处理气体混合物中所供应的载气(诸如N2气体)可以在约100sccm至约5000sccm之间(例如约1500sccm)的流量供应到处理腔室中。在等离子体处理气体混合物中所供应的含氧气体(诸如N2O气体)可以在约50sccm至约50000sccm之间(例如约11000sccm)的流量供应到处理腔室中。在一个或多个实施方式中,加入的气体提供具有含氢气体与含氧气体的流量(flow volume)至少1:30的比例(诸如在约1:1和1:20之间的比例,例如约1:15)的等离子体处理气体混合物。
应注意引入到处理腔室中的每种气体的量可被改变和调节,以适应例如待移除的腔室残余物的厚度或数量、待清洁的基板的几何形状、等离子体的体积容量、腔室主体的体积容量以及耦合到腔室主体的真空系统的容量。
在操作206处,在操作204的等离子体处理工艺之后,可执行陈化工艺。如上文所论述的,在处理系统132中处理了一个或多个基板之后,通常执行操作202的清洁工艺,以移除沉积并积聚在腔室壁中的沉积副产物。在通过清洁气体充分清洁腔室壁之后,执行操作204的等离子体处理工艺,以从处理腔室移除清洁后副产物(AlF)或其他容纳物,以提高清洁效率。在清洁副产物已经从腔室排出之后,在处理腔室中执行操作206的陈化工艺。执行陈化工艺以将陈化膜沉积到腔室的部件上,以密封处理腔室部件的经清洁或经粗糙化的表面,以减少在工艺期间可能从腔室壁产生或剥离的污染物。
陈化工艺包含根据随后的沉积工艺配方将材料(诸如陈化膜)涂覆在腔室的内表面上。换句话说,可选择陈化膜的材料,以具有与随后沉积在基板上的膜类似的成分或膜性质。在本文所述的一个实施方式中,涂覆在处理腔室的内表面上的陈化膜是氧化硅层。
在一个实施方式中,可使用与在陈化工艺之后在等离子体处理系统132中执行的后续沉积工艺中使用的气体混合物大体相同的沉积气体混合物而将陈化膜沉积于腔室内表面上。用于涂覆陈化膜的工艺参数可相同于或可不同于随后的沉积工艺,以满足不同的工艺要求。在陈化工艺期间,硅前驱物气体、含氧气体或含氮气体和惰性气体可流入等离子体处理系统132中,其中RF偏压功率源147、184、186提供射频能量,以活化前驱物气体并且使得能够执行陈化膜沉积工艺。
在沉积工艺经配置以沉积氧化硅膜的示例性实施方式中,可将包括至少硅前驱物、含氧气体和惰性气体(诸如氩气或氦气)的气体混合物供应到处理系统132而用于陈化膜沉积。所使用的硅前驱物可以是SiH4气体或TEOS气体。或者,在沉积工艺经配置以沉积氮化硅膜的另一个示例性实施方式中,可将包括至少硅前驱物、含氮气体和惰性气体的气体混合物供应到处理系统132而用于陈化膜沉积。
可调整RF功率和气体流量,以用不同的硅和氧化物的比例沉积陈化膜,从而向随后待沉积的沉积膜提供良好的粘附。此外,可调整RF功率和气体流量,以控制陈化膜的沉积速率,从而有效地以期望的厚度范围沉积陈化膜,以向下面的腔室部件、腔室零件和待沉积物提供良好的保护和粘附。在一个实施方式中,陈化工艺可执行约1秒至约200秒,以形成具有大于
Figure GDA0001852430480000101
的厚度的陈化膜。
因此,提供用于在清洁工艺之后执行原位等离子体处理工艺的方法和设备,以提高等离子体处理腔室的清洁效率而不破坏真空。所述方法包括利用含氢气体和含氧气体以帮助移除处理腔室中的过度清洁残余物或其他污染源,并且在执行等离子体清洁工艺之后但在腔室陈化工艺之前的等离子体处理工艺。原位等离子体处理工艺可有效地从等离子体处理腔室的内部体积移除残余物(包括金属污染物,诸如AlF),从而将等离子体处理腔室维持在期望的清洁状态,并产生高质量的半导体装置,而没有特别的污染。
尽管前述内容针对本公开内容的实施方式,可在不脱离本公开内容的基本范围的情况下设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由随附的权利要求书确定。

Claims (13)

1.一种用于在等离子体清洁工艺之后执行等离子体处理工艺的方法,包含以下步骤:
在没有设置在等离子体处理腔室中的基板的情况下,在所述等离子体处理腔室中执行等离子体清洁工艺;
随后通过将包括至少含氢气体和含氧气体的等离子体处理气体混合物供应到所述等离子体处理腔室中来执行清洁后等离子体处理工艺,
其中交替地将所述含氢气体和所述含氧气体单独地供应到所述等离子体处理腔室中;
将RF源功率施加到所述处理腔室,以由所述等离子体处理气体混合物形成等离子体;
等离子体处理所述处理腔室的内表面;和
随后在等离子体处理所述处理腔室的所述内表面之后执行陈化工艺。
2.如权利要求1所述的方法,其中在所述等离子体处理气体混合物中供应的所述含氢气体包括H2、H2O、NH3或N2H2
3.如权利要求1所述的方法,其中所述含氧气体选自由O2、H2O、N2O、NO2、O3、CO和CO2组成的群组。
4.如权利要求1所述的方法,其中以在1:1和1:20之间的流量比例而供应所述含氢气体和所述含氧气体。
5.如权利要求1所述的方法,其中所述含氢气体是NH3或H2,并且所述含氧气体是N2O。
6.如权利要求1所述的方法,其中执行所述陈化工艺进一步包含以下步骤:
在所述处理腔室的所述内表面上形成含硅陈化膜。
7.如权利要求1所述的方法,其中执行所述清洁工艺进一步包含以下步骤:
将含氟气体供应到所述处理腔室而用于清洁。
8.如权利要求7所述的方法,其中供应所述含氟气体进一步包含以下步骤:
在输送到所述处理腔室之前由所述含氟气体产生远程等离子体。
9.如权利要求1所述的方法,其中等离子体处理所述处理腔室的所述内表面进一步包含以下步骤:
使含金属的污染物与从所述等离子体处理气体混合物供应的所述含氢气体反应。
10.如权利要求9所述的方法,其中使所述含金属的污染物与所述含氢气体反应进一步包含以下步骤:
通过来自所述处理腔室的所述等离子体处理气体混合物的所述含氧气体在所述内表面上形成金属氧化物。
11.如权利要求9所述的方法,其中所述含金属的污染物是AlF。
12.如权利要求10所述的方法,其中所述金属氧化物是Al2O3
13.一种用于在清洁等离子体工艺之后执行等离子体处理工艺的方法,包含以下步骤:
在等离子体处理腔室中在没有基板的情况下供应从远程等离子体源供应的包括含氟气体的清洁气体混合物;
随后供应包括含氧气体和含氢气体的等离子体处理气体混合物,以从在所述等离子体处理气体混合物中产生的RF源功率形成等离子体,以从所述处理腔室的内表面移除金属污染物,其中交替地将所述含氢气体和所述含氧气体单独地供应到所述等离子体处理腔室中;和
随后供应陈化膜气体混合物,以在所述等离子体处理腔室的所述内表面上形成陈化层。
CN201780027736.XA 2016-05-03 2017-04-11 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺 Active CN109075030B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/145,750 US10002745B2 (en) 2016-05-03 2016-05-03 Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US15/145,750 2016-05-03
PCT/US2017/027020 WO2017192249A1 (en) 2016-05-03 2017-04-11 Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber

Publications (2)

Publication Number Publication Date
CN109075030A CN109075030A (zh) 2018-12-21
CN109075030B true CN109075030B (zh) 2023-06-20

Family

ID=60203108

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780027736.XA Active CN109075030B (zh) 2016-05-03 2017-04-11 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺

Country Status (6)

Country Link
US (1) US10002745B2 (zh)
JP (1) JP6737899B2 (zh)
KR (1) KR102158307B1 (zh)
CN (1) CN109075030B (zh)
TW (1) TWI674617B (zh)
WO (1) WO2017192249A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018026509A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10177017B1 (en) * 2017-07-05 2019-01-08 Applied Materials, Inc. Method for conditioning a processing chamber for steady etching rate control
US20210082696A1 (en) * 2018-03-01 2021-03-18 Applied Materials, Inc. Systems and methods of formation of a metal hardmask in device fabrication
US20190382889A1 (en) * 2018-06-15 2019-12-19 Applied Materials, Inc. Technique to enable high temperature clean for rapid processing of wafers
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US20200176232A1 (en) * 2018-12-04 2020-06-04 Nanya Technology Corporation Etching device and operating method thereof
CN109585276B (zh) * 2018-12-27 2021-06-15 上海华力集成电路制造有限公司 一种改善多晶硅刻蚀腔体晶圆棍状缺陷的方法
JP7239688B2 (ja) * 2019-01-30 2023-03-14 アプライド マテリアルズ インコーポレイテッド 減圧システムを洗浄するための方法、基板の減圧処理のための方法、及び基板を減圧処理するための装置
US20200273683A1 (en) * 2019-02-27 2020-08-27 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR20220056877A (ko) 2019-09-19 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 페디스털 가열기를 세정하기 위한 인-시츄 dc 플라즈마
JP2023507105A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド チャンバ部品の表面プロファイリング及びテクスチャリング
WO2021161824A1 (ja) * 2020-02-14 2021-08-19 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN111549325B (zh) * 2020-06-12 2022-10-21 北京北方华创微电子装备有限公司 一种磁控溅射设备
US11626271B2 (en) * 2020-06-18 2023-04-11 Tokyo Electron Limited Surface fluorination remediation for aluminium oxide electrostatic chucks
JP7479257B2 (ja) 2020-09-16 2024-05-08 東京エレクトロン株式会社 プラズマパージ方法
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
US11996273B2 (en) 2020-10-21 2024-05-28 Applied Materials, Inc. Methods of seasoning process chambers
TWI779395B (zh) * 2020-11-16 2022-10-01 友威科技股份有限公司 利用電漿蝕刻去除晶圓缺陷的重工處理設備
CN113481486A (zh) * 2021-01-20 2021-10-08 宣城睿晖宣晟企业管理中心合伙企业(有限合伙) 一种镀膜方法
US11955318B2 (en) * 2021-03-12 2024-04-09 Applied Materials, Inc. Ash rate recovery method in plasma strip chamber
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
US11699577B2 (en) * 2021-05-25 2023-07-11 Applied Materials, Inc. Treatment for high-temperature cleans
US11915918B2 (en) 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean
US11961716B2 (en) * 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
KR20230100595A (ko) 2021-12-28 2023-07-05 삼성전기주식회사 코일 부품

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
JP2001335937A (ja) 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US7097716B2 (en) 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
WO2005081302A1 (ja) * 2004-02-19 2005-09-01 Tokyo Electron Limited 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US9533332B2 (en) 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US9269562B2 (en) * 2013-01-17 2016-02-23 Applied Materials, Inc. In situ chamber clean with inert hydrogen helium mixture during wafer process
JP6476369B2 (ja) * 2013-03-25 2019-03-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム

Also Published As

Publication number Publication date
CN109075030A (zh) 2018-12-21
TW201804508A (zh) 2018-02-01
KR20180130596A (ko) 2018-12-07
WO2017192249A1 (en) 2017-11-09
JP6737899B2 (ja) 2020-08-12
JP2019515505A (ja) 2019-06-06
KR102158307B1 (ko) 2020-09-21
TWI674617B (zh) 2019-10-11
US10002745B2 (en) 2018-06-19
US20170323768A1 (en) 2017-11-09

Similar Documents

Publication Publication Date Title
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
CN111286719B (zh) 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能
KR20210005961A (ko) 금속 오염을 제어하기 위한 챔버의 인-시튜 cvd 및 ald 코팅
KR100684910B1 (ko) 플라즈마 처리 장치 및 그의 클리닝 방법
US20080044593A1 (en) Method of forming a material layer
TWI254363B (en) Chamber cleaning method
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
JP2006128485A (ja) 半導体処理装置
JP2009050854A (ja) 窒化チタンの除去方法
WO2004066365A2 (en) Cleaning of cvd chambers using remote source with cxfyoz based chemistry
JP2009124050A (ja) 半導体装置の製造方法及び基板処理装置
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
KR100786609B1 (ko) 기구 부품의 반응성 기체 청소 방법 및 공정
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
CN113594032A (zh) 基板处理方法及等离子体处理装置
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
TW202035775A (zh) 清潔處理腔室的方法
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
JP2008121054A (ja) 真空処理装置のクリーニング方法及び真空処理装置
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
JP2004273991A (ja) 半導体製造方法
KR20090020925A (ko) 반도체 장비의 세정방법
CN112838002A (zh) 基板处理方法及等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant