JP5364514B2 - チャンバ内クリーニング方法 - Google Patents

チャンバ内クリーニング方法 Download PDF

Info

Publication number
JP5364514B2
JP5364514B2 JP2009203584A JP2009203584A JP5364514B2 JP 5364514 B2 JP5364514 B2 JP 5364514B2 JP 2009203584 A JP2009203584 A JP 2009203584A JP 2009203584 A JP2009203584 A JP 2009203584A JP 5364514 B2 JP5364514 B2 JP 5364514B2
Authority
JP
Japan
Prior art keywords
chamber
gas
deposit
cleaning method
outer peripheral
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009203584A
Other languages
English (en)
Other versions
JP2011054825A5 (ja
JP2011054825A (ja
Inventor
昌伸 本田
秀敏 花岡
太一 平野
高範 三村
学 岩田
武敏 岡城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009203584A priority Critical patent/JP5364514B2/ja
Priority to CN201010264380.1A priority patent/CN102013388B/zh
Priority to US12/873,458 priority patent/US8999068B2/en
Priority to KR1020100085685A priority patent/KR101697285B1/ko
Priority to TW099129694A priority patent/TWI525694B/zh
Publication of JP2011054825A publication Critical patent/JP2011054825A/ja
Publication of JP2011054825A5 publication Critical patent/JP2011054825A5/ja
Application granted granted Critical
Publication of JP5364514B2 publication Critical patent/JP5364514B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、基板処理装置のチャンバ内に設けられ基板を載置する静電チャックの外周部に付着した堆積物を除去するチャンバ内クリーニング方法に関する。
基板処理装置として、プラズマを用いて基板に対してエッチング等の所定の処理を施すプラズマ処理装置が広く知られている。プラズマ処理装置は、プラズマを内部で発生させると共に基板として、例えば半導体デバイス用のウエハを収容する減圧可能な処理室(チャンバ)と、チャンバ内に配置されウエハを載置するための載置台(サセプタ)と、該サセプタの最上部に配置されウエハを支持する静電チャック(ESC)と、ESCと対向するように空間を隔ててその上方に配置されチャンバ内に処理ガスを導入する上部電極と、サセプタの上部外周縁部に配置されウエハを囲むフォーカスリング(F/R)等、を有している。
ESCは、例えば円板状のセラミックス部材で構成され、内部に直流電源に接続された静電電極を有する。静電電極に正の直流電圧が印加されると、ESCの上面に載置されたウエハにおけるESC側の裏面には負の電位が生じて静電電極及びウエハWの裏面の間に電位差が生じ、該電位差に起因するクーロン力又はジョンソン・ラーベック力により、ウエハはESCに吸着保持される。
ESCは、通常、該ESCに載置されるウエハよりもその径が一回り小さく設計され、ESCの外周部とウエハの裏面との間に若干の隙間を生じる。そして、この隙間にプラズマ処理に適用されるCF系処理ガスの反応生成物であるCFポリマーが堆積物(以下、単に「デポ」ともいう。)として堆積する。このようなESCの外周部の特に肩部に堆積したデポ(以下、「肩デポ」ともいう。)は、ESCによるウエハWの吸着エラーの原因となり、良好なプラズマ処理の妨げとなる。特に、基板処理装置を用いたプラズマエッチングにおいては、デポ性の高い処理ガスを用いる傾向が強くなり、肩デポ対策は大きな問題となっている。
従って、肩デポをできるだけ速やかに除去する必要があり、従来から、人手によって取り除く方法が採用されていたが、除去の度ごとにチャンバを大気解放する必要があり非効率的であることから、近年、チャンバを大気解放しないで、肩デポを分解、除去することができる酸素(O)プラズマを用いたドライクリーニング方法が採用されている。しかしながら、ESCの外周部の直上部はウエハによって遮蔽されており、プラズマ中のイオンが上方から進入することができないために、必ずしも肩デポを効率よく除去することができなかった。
ところで、CF系処理ガスから生成されたCFベースの肩デポは、酸素(O)プラズマによって除去することは可能であるが、CF成分だけでなく、ウエハ又はチャンバ内部材から放出されたSiやAlをはじめとする金属を含有する肩デポは酸素プラズマで除去することはできない。一方、CFベースで、SiやAlを含む肩デポは、Oガスとフッ素(F)含有ガスとの混合ガスから生成されるプラズマを用いれば分解、除去できることが知られている。このようなF含有ガスを用いたプラズマによってチャンバ内をクリーニングする方法として、例えばフッ素含有ガスをチャンバ内に導入した状態でチャンバ内に誘導プラズマを発生させ、該誘導プラズマを用いてチャンバ内をクリーニングする方法が挙げられる(例えば、特許文献1参照)。
特開2003−151971号公報
しかしながら、フッ素含有ガスから発生したプラズマを用いてチャンバ内をクリーニンする際、例えばAlからなるESCの表面がFラジカルに曝されて削れてしまうという問題がある。またSi又はSiCからなる上部電極がFラジカルに曝されてその表面が荒れ、いわゆるブラックシリコン(Black−silicon)化するという問題もある。
本発明の目的は、静電チャックの外周部に堆積したCFベースでSi及び金属の少なくともいずれかを含有する堆積物を効率よく除去することができるチャンバ内クリーニング方法を提供することにある。
また、本発明の他の目的は、静電チャックの表面を削ることなく、又は上部電極の表面荒れを防止しつつ、静電チャックの外周部に堆積したCFベースでSi及び金属の少なくともいずれかを含有する堆積物を除去することができるチャンバ内クリーニング方法を提供することにある。
上記目的を達成するために、請求項1記載のチャンバ内クリーニング方法は、基板にプラズマ処理を施す基板処理装置のチャンバ内に設けられ、前記基板を載置する台状の静電チャックの外周部に付着したCFベースでSi及び金属のうち少なくとも1つを含有する堆積物を除去するチャンバ内クリーニング方法において、酸素ガスとフッ素含有ガスとの混合ガスから生成されたプラズマを前記静電チャックの外周部に照射して前記堆積物を除去し、前記静電チャックの外周部以外の露出表面を、マスキング材で被覆し、前記基板処理装置は、前記静電チャックとは空間を隔てて対向する上部に、Si又はSiCからなる上部電極を備えており、該上部電極に、−80V〜−100Vの直流電圧を印加すると共に、前記混合ガス中の酸素ガスの混合比率を50%以上とすることを特徴とする。
請求項2記載のチャンバ内クリーニング方法は、請求項1記載のチャンバ内クリーニング方法において、前記混合ガスを、前記静電チャックの外周部に向けて供給し、前記混合ガスから生成されたプラズマを前記静電チャックの外周部のみに選択的に照射することを特徴とする。
請求項3記載のチャンバ内クリーニング方法は、請求項2記載のチャンバ内クリーニング方法において、前記混合ガスの前記チャンバ内への供給圧力を1.33×10Pa(100mTorr)〜1.33×10Pa(1000mTorr)に調整することを特徴とする。
請求項記載のチャンバ内クリーニング方法は、請求項記載のチャンバ内クリーニング方法において、前記マスキング材は、酸素ガス、アルゴンガス及びヘリウムガスの少なくともいずれかからなるマスキングガスであり、該マスキングガスを前記静電チャックの外周部を除く中心部に吹き付けることを特徴とする。
請求項記載のチャンバ内クリーニング方法は、請求項記載のチャンバ内クリーニング方法において、前記堆積物を除去するクリーニングステップの所要時間は、10〜180秒間であり、前記クリーニングステップの開始当初の10〜20秒間だけ、前記上部電極へ印加する直流電圧を−150V〜−300Vとすることを特徴とする。
請求項記載のチャンバ内クリーニング方法は、請求項1乃至のいずれか1項に記載のチャンバ内クリーニング方法において、前記金属は、Alであることを特徴とする。
請求項記載のチャンバ内クリーニング方法は、請求項1乃至いずれか1項に記載のチャンバ内クリーニング方法において、前記堆積物を除去するクリーニングステップを、前記プラズマ処理が施された処理後の前記基板を前記チャンバから搬出した後、次に処理する処理前の前記基板を前記チャンバ内に搬入するまでに行うことを特徴とする。
上記目的を達成するために、請求項8記載のチャンバ内クリーニング方法は、基板にプラズマ処理を施す基板処理装置のチャンバ内に設けられ、前記基板を載置する台状の静電チャックの外周部に付着したCFベースでSi及び金属のうち少なくとも1つを含有する堆積物を除去するチャンバ内クリーニング方法において、酸素ガスとフッ素含有ガスとの混合ガスから生成されたプラズマを前記静電チャックの外周部に照射して前記堆積物を除去し、前記静電チャックの外周部以外の露出表面を、マスキング材で被覆し、前記堆積物は多層堆積物であり、前記堆積物の各層に応じて前記プラズマを生成する処理ガス組成を変更することを特徴とする。
請求項9記載のチャンバ内クリーニング方法は、請求項8記載のチャンバ内クリーニング方法において、前記混合ガスを、前記静電チャックの外周部に向けて供給し、前記混合ガスから生成されたプラズマを前記静電チャックの外周部のみに選択的に照射することを特徴とする。
請求項10記載のチャンバ内クリーニング方法は、請求項9記載のチャンバ内クリーニング方法において、前記混合ガスの前記チャンバ内への供給圧力を1.33×10Pa(100mTorr)〜1.33×10 Pa(1000mTorr)に調整することを特徴とする。
請求項11記載のチャンバ内クリーニング方法は、請求項8記載のチャンバ内クリーニング方法において、前記マスキング材は、酸素ガス、アルゴンガス及びヘリウムガスの少なくともいずれかからなるマスキングガスであり、該マスキングガスを前記静電チャックの外周部を除く中心部に吹き付けることを特徴とする。
請求項12記載のチャンバ内クリーニング方法は、請求項8乃至11のいずれか1項に記載のチャンバ内クリーニング方法において、前記金属は、Alであることを特徴とする。
請求項13記載のチャンバ内クリーニング方法は、請求項8記載のチャンバ内クリーニング方法において、前記堆積物が、CFベースの第1の堆積物と、CFベースでSi及びAlの少なくともいずれかを含む第2の堆積物とを少なくとも含む多層堆積物の場合、前記第1の堆積物を、酸素ガスから生成されたプラズマによって除去し、前記第2の堆積物を、前記混合ガスから生成されたプラズマによって除去することを特徴とする。
請求項14記載のチャンバ内クリーニング方法は、請求項8乃至13のいずれか1項に記載のチャンバ内クリーニング方法において、前記堆積物を除去するクリーニングステップを、前記プラズマ処理が施された処理後の前記基板を前記チャンバから搬出した後、次に処理する処理前の前記基板を前記チャンバ内に搬入するまでに行うことを特徴とする。
請求項1記載のチャンバ内クリーニング方法によれば、酸素(O)ガスとフッ素(F)含有ガスとの混合ガスから生成されたプラズマを静電チャックの外周部に照射して肩デポを除去するので、静電チャックの外周部に付着した堆積物を効率よく除去することができ、静電チャックの外周部以外の露出表面を、マスキング材で被覆するので、静電チャックの上部表面の外周部以外の中心部を削ることなく外周部に付着した堆積物を除去することができる。また、上部電極に、−80V〜−100Vの直流電圧を印加すると共に、混合ガス中の酸素ガスの混合比率を50%以上とするので、上部電極の表面荒れを防止しつつ静電チャックの外周部に付着したCFベースでSi及び金属の少なくともいずれかを含有する堆積物を除去することができる。
請求項2記載のチャンバ内クリーニング方法によれば、混合ガスを、静電チャックの外周部に向けて供給し、該混合ガスから生成されたプラズマを静電チャックの外周部のみに選択的に照射するので、静電チャックの外周部以外の中心部を削ることなく、静電チャックの外周部に付着した堆積物を効率よく除去することができる。
請求項3記載のチャンバ内クリーニング方法によれば、混合ガスのチャンバ内への供給圧力を1.33×10Pa(100mTorr)〜1.33×10Pa(1000mTorr)に調整するので、混合ガスを分散させることなく、該混合ガスから生成されたプラズマを静電チャックの外周部のみに照射して外周部に付着した堆積物を効率よく除去することができる。
請求項記載のチャンバ内クリーニング方法によれば、マスキング材は、酸素ガス、アルゴンガス及びヘリウムガスの少なくともいずれかからなるマスキングガスであり、該マスキングガスを静電チャックの外周部を除く中心部に吹き付けるので、静電チャックの外周部以外の上部表面の削れを確実に防止することができる。
請求項記載のチャンバ内クリーニング方法によれば、堆積物を除去するクリーニングステップの所要時間は、10〜180秒間であり、クリーニングステップの開始当初の10〜20秒間だけ、上部電極へ印加する直流電圧を−150V〜−300Vとするので、クリーニング開始当初から荒れている上部電極の表面を平滑化した後、静電チャックの外周部に付着した堆積物を除去することができる。
請求項記載のチャンバ内クリーニング方法によれば、堆積物が多層堆積物であり、堆積物の各層に応じてプラズマを生成する処理ガス組成を変更するので、最適条件で効率よく多層堆積物を除去することができる。
請求項13記載のチャンバ内クリーニング方法によれば、堆積物が、CFベースの第1の堆積物と、CFベースでSi及びAlの少なくともいずれかを含有する第2の堆積物からなる多層堆積物の場合、第1の堆積物を、酸素ガスから生成されたプラズマによって除去し、第2の堆積物を、混合ガスから生成されたプラズマによって除去するので、最適条件で効率よく多層堆積物を除去することができる。
請求項14記載のチャンバ内クリーニング方法によれば、堆積物を除去するクリーニングステップを、プラズマ処理が施された処理後の基板をチャンバから搬出した後、次に処理する処理前の基板をチャンバ内に搬入するまでに行うので、常に、堆積物が除去されたクリーンな静電チャックによって、良好なプラズマ処理を施すことができる。
本発明のチャンバ内クリーニング方法が適用される基板処理装置を示す断面図である。 図1における静電チャックの外周部近傍を示す拡大図である。 本発明の実施の形態におけるチャンバ内クリーニング方法を示す工程図である。 多層肩デポを示す断面図である。 多層肩デポを除去するチャンバ内クリーニング方法を示す工程図である。
まず、本発明の第1の実施の形態に係るチャンバ内クリーニング方法について説明する。
図1は、本実施の形態に係るチャンバ内クリーニング方法が適用される基板処理装置を示す断面図である。この基板処理装置は、基板としての半導体ウエハWにRIE(Reactive Ion Etching)処理やアッシング処理等のプラズマ処理を施すように構成されている。
図1において、基板処理装置10は、円筒形状の処理室(チャンバ)11と、該チャンバ11内に配置されて、被処理基板としての半導体ウエハ(以下、単に「ウエハ」という。)Wを載置する載置台としての円柱状のサセプタ12を備えている。
チャンバ11の内壁面及びサセプタ12の側壁面により、チャンバ内空間Sのガスをチャンバ11の外部へ排出する流路として機能する排気流路13が形成されている。排気流路13の途中には排気プレート14が配置されている。
排気プレート14は多数の貫通孔を有する板状部材であり、チャンバ11を上部と下部に仕切る仕切り板として機能する。排気プレート14によって仕切られたチャンバ11の上部(以下、「反応室」という。)15には後述するようにプラズマが発生する。また、チャンバ11の下部(以下、「排気室(マニホールド)」という。)16にはチャンバ11内のガスを排出する排気管17、18が接続されている。排気プレート14は反応室15に発生するプラズマを捕捉又は反射してマニホールド16への漏洩を防止する。
排気管17にはTMP(Turbo Molecular Pump)(図示省略)が接続され、排気管18にはDP(Dry Pump)(図示省略)が接続され、これらのポンプはチャンバ11内を真空引きして減圧する。具体的には、DPはチャンバ11内を大気圧から中真空状態(例えば、1.33×10Pa(100mTorr)以下)まで減圧し、TMPはDPと協働して処理室11内を中真空状態より低い圧力である高真空状態(例えば、1.33×10−3Pa(1.0×10−2mTorr)以下)まで減圧する。なお、チャンバ11内の圧力はAPCバルブ(図示省略)によって制御される。
チャンバ11内のサセプタ12には、第1の高周波電源19及び第2の高周波電源20がそれぞれ第1の整合器21及び第2の整合器22を介して接続され、第1の高周波電源19は比較的高い周波数、例えば、60MHzの高周波電力(励起用電力)をサセプタ12に印加し、第2の高周波電源20は比較的低い周波数、例えば、2MHzの高周波電力(バイアス用電力)をサセプタ12に印加する。これにより、サセプタ12は、該サセプタ12及び後述するシャワーヘッド30の間の処理空間Sに高周波電力を印加する下部電極として機能する。
サセプタ12上には、静電電極板23を内蔵する円板状の絶縁性部材からなる静電チャック(ESC)24が配置されている。サセプタ12にウエハWを載置するとき、該ウエハWはESC24上に配される。ESC24では、静電電極板23に直流電源25が電気的に接続されている。静電電極板23に正の直流電圧が印加されると、ウエハWにおけるESC24側の面(以下、「裏面」という。)には負電位が発生して静電電極板23及びウエハWの裏面の間に電位差が生じ、該電位差に起因するクーロン力又はジョンソン・ラーベック力により、ウエハWはESC24に吸着保持される。
サセプタ12上には、吸着保持されたウエハWを囲うように、円環状のフォーカスリング26が載置されている。フォーカスリング26は、導電性部材、例えば、シリコンからなり、プラズマをウエハWの表面に向けて収束し、RIE処理の効率を向上させる。
また、サセプタ12の内部には、例えば、円周方向に延在する環状の冷媒室27が設けられている。冷媒室27には、チラーユニット(図示省略)から冷媒用配管28を介して低温の冷媒、例えば、冷却水やガルデン(登録商標)液が循環供給される。低温の冷媒によって冷却されたサセプタ12はESC24を介してウエハW及びフォーカスリング26を冷却する。
ESC24の上面におけるウエハWが吸着保持される部分(以下、「吸着面」という。)には、複数の伝熱ガス供給孔29が開口している。これら複数の伝熱ガス供給孔29は伝熱ガスとしてのヘリウム(He)ガスを、吸着面及びウエハWの裏面の間隙に供給する。吸着面及びウエハWの裏面の間隙に供給されたヘリウムガスはウエハWの熱をESC24に効率的に伝達する。
ESC24とは処理空間Sを隔てた天井部にシャワーヘッド30が配置されている。シャワーヘッド30は、処理空間Sに露出してESC24上に載置されたウエハWに対向する上部電極31と、絶縁性部材からなる絶縁板32と、該絶縁板32を介して上部電極31を釣支する電極釣支体33とを有し、上部電極31、絶縁板32及び電極釣支体33はこの順で重畳されている。
上部電極31は、導電性又は半導電性材料、例えば、単結晶シリコン(Si)からなる。上部電極31は、例えば、直径が300mmの円板状部材からなり、厚み方向に貫通する多数のガス流路36を有する。上部電極31には直流電源37が接続されている。
電極釣支体33は内部にバッファ室39を有する。バッファ室39はその中心軸がウエハWの中心軸と同軸である円柱状の空間であり、円環状のシール材、例えば、Oリング40によって内側バッファ室39aと外側バッファ室39bに区分けされている。
内側バッファ室39aには処理ガス導入管41が接続され、外側バッファ室39bには処理ガス導入管42が接続されており、処理ガス導入管41,42はそれぞれ内側バッファ室39a及び外側バッファ室39bに処理ガスを導入する。
処理ガス導入管41,42はそれぞれ流量制御器(MFC)(図示省略)を有するので、内側バッファ室39a及び外側バッファ室39bへ導入される処理ガスの流量はそれぞれ独立的に制御される。また、バッファ室39は電極釣支体33のガス流路43、絶縁板32のガス流路44及び上部電極31のガス流路36を介して処理空間Sと連通しており、内側バッファ室39aや外側バッファ室39bへ導入された処理ガスはそれぞれ処理空間Sへ供給される。このとき、内側バッファ室39a及び外側バッファ室39bへ導入される処理ガスの流量又は圧力を調整することによって処理空間Sにおける処理ガスの分布を制御することができる。
図2は、図1におけるESCの外周部近傍を示す拡大図である。
図2において、フォーカスリング26に囲まれ、ウエハWを支持するESC24の外周部24aとフォーカスリング26の側壁との間には隙間があり、この隙間におけるESC24の外周部24aに堆積物(以下、「デポ」という。)が付着している。このようなESC24の外周部24aの主として肩部に付着したデポ(以下、「肩デポ」という。)50は、ESC24によるウエハWの吸着エラーの原因となり、良好なプラズマ処理を実現する妨げとなる。
本発明者は、このような肩デポ50であって、CFベースでSi及び金属の少なくともいずれかを含む肩デポ50を効率よく除去する方法について鋭意研究した結果、OガスとF含有ガスを混合した混合ガスから生成されるプラズマを、ESC24の外周部24aに照射することによって、ESC24の外周部24aを除く中心部表面を削ることなく、肩デポ50を効果的に除去できることを見出し、本発明に到達した。
図3は、本実施の形態におけるチャンバ内クリーニング方法を示す工程図である。このチャンバ内クリーニング方法は、ウエハレスドライクリーニング方法の一種である。なお、図3は、図1における要部を模式的に示したものであって、それぞれウエハWを載置するESC24と、該ESC24とは処理空間Sを隔ててその上部に対向配置された上部電極31を含むシャワーヘッド30が示されている。
図3において、ESC24の外周部に堆積した肩デポ50を除去する際は、先ず、所定のプラズマ処理、例えばエッチング処理又はアッシング処理が施された処理後のウエハWをチャンバ11から搬出して肩デポ50を露出させる(図3(A))。
次いで、チャンバ11内の圧力をAPCバルブ等によって、例えば1.06×10Pa(800mTorr)に設定する。また、チャンバ内温度を例えば40〜90℃に設定する。そして、シャワーヘッド30の外側バッファ室39bから対応するガス流路を介してOガスを流量、例えば1200sccm、F含有ガスとしてCFガス及びSFガスをそれぞれ流量、例えば300sccmでチャンバ11内へ供給する。Oガス、CFガス及びSFガスからなる混合ガス(以下、「F含有混合ガス」という。)におけるOガスの混合比率は、50%以上である。一方、内側バッファ室39aから対応するガス流路を介してO単ガスを流量例えば1500sccmでチャンバ11内へ供給する。
そして、ESC24に励起用電力として750W、バイアス電力として0Wを印加する。このとき、外側バッファ室39bから供給されたO、CF及びSFからなるF含有混合ガスは処理空間Sに印加された励起用電力によって励起されてプラズマになりイオンやラジカルが発生する(図3(B))。これらのイオンやラジカルのうち主としてFラジカルがESC24の外周部24aに堆積したCFベースでSi及びAlを含む肩デポ50に衝突し、当該肩デポ50を化学的に分解、除去する(図3(C))。
このとき、肩デポ50に含まれるSiは、SiFとして、Alは、AlFとして飛散し、最終的にチャンバ外に排出される。従って、肩デポ50が分解、除去されることによってSi又はAlがチャンバ内に滞留することはない。また、ESC24の外周部24a以外の上部表面には、Oガスから生成されたOプラズマのラジカルが照射されるので(図3(B)参照)、ESC24の外周部24a以外の上部表面へのFラジカルの照射が妨げられる。従って、ESC24の外周部24a以外の上部表面がFラジカルによって削られることはない。なお、ESC24は、例えばAlで構成されているので、酸素ラジカルが照射されても安定であり、削られることはない。このときOガスから生成したプラズマは、ESC24の外周部24aを除く表面中心部を保護するマスク材として機能する。
このようにして、ESC24の肩デポ50を分解、除去した後、次に処理する未処理のウエハWをチャンバ11内に搬入し、ESC24上に載置する。
本実施の形態によれば、Oガス、CFガス及びSFガスを含むF含有混合ガスから生成されたプラズマをESC24の外周部24aに照射するようにしたので、肩デポ50がSi及びAlを含むデポであっても、主としてプラズマ中のFラジカルによって肩デポ50を分解、除去することができる。また、このとき、ESC24の外周部を除く上部表面は酸素プラズマによって覆われるので、Fラジカルによって削られるのを防止することができる。
本実施の形態において、F含有混合ガスのチャンバ11内への導入圧力を、1.33×10Pa(100mTorr)〜1.33×10Pa(1000mTorr)、好ましくは、5.32×10Pa(400mTorr)〜1.06×10Pa(800mTorr)に調整する。導入圧力が1.33×10Pa(100mTorr)よりも低いと、F含有混合ガスが分散しやすくなって方向性が定まらず、結果としてFラジカルをESC24の外周部24aに照射することができなくなり、肩デポ50を効率よく除去することができない。一方、導入圧力を1.33×10Pa(1000mTorr)よりも高くしても得られる効果はほとんど変わらず、制御が煩雑になる。F含有混合ガスの導入圧力が、1.33×10Pa(100mTorr)〜1.33×10Pa(1000mTorr)の範囲、特に5.32×10Pa(400mTorr)〜1.06×10Pa(800mTorr)の範囲内であれば、F含有混合ガスから生成したFラジカルをESC24の外周部24aのみに選択的に照射して肩デポ50を効率よく分解、除去することができる。
本実施の形態において、F含有混合ガスに適用されるF含有ガスとしては、Cガス、SFガス、NFガス、Fガス等を適用することができる。また、ESC24の外周部24a以外の表面を覆うマスキングガスとしては、酸素ガスの外、アルゴン(Ar)ガス、ヘリウム(He)ガス等を用いることができる。
本実施の形態において、シャワーヘッド30がSi又はSiCで構成されている場合は、シャワーヘッド30にプラズマ中のイオンを吸引するためのバイアス電圧を印加し、且つF含有混合ガスにおけるOガスの混合比率を50%以上にすることが好ましい。Si又はSiCからなるシャワーヘッド30がFラジカルに被曝され、表面が凸凹状になる、いわゆるブラックシリコン(black silicon)化を防止するためである。
ここで、Si及びSiC部材のブラックシリコン化について、Si部材を例にして説明する。
Siからなる構成部材、例えばシャワーヘッドがプラズマ中の酸素ラジカル及びFラジカルに曝されると、シャワーヘッド表面のSiは酸素ラジカルによって酸化されてSiOになる。このとき、ミクロ的には、シャワーヘッド表面のSiが均一にSiOに酸化されるのではなく、斑状に酸化されてSiOになり、斑状に酸化されていないSiが残存する。そして、Fラジカルは、一定以上のエネルギーがないとSiOとは反応しないが、酸化されずに残ったSiとはエネルギーがなくても自発的に反応するので、斑状に残存したSiと反応し、当該SiをSiFとして飛散させ、Siが存在した部分に凹部を形成する。このようにしてシャワーヘッド表面に斑状に形成された凹部に、さらにFラジカルが侵入し、当該凹部表面のSiと反応して同様にSiFとして飛散させ、より大きい凹部を形成する。このようにして、シャワーヘッド表面の凹凸がより大きくなって、ブラックシリコンのような凹凸表面となる。
本実施の形態においては、シャワーヘッド30のブラックシリコン化を防止するために、Si又はSiCからなるシャワーヘッド30が酸素ラジカル及びFラジカルに曝される肩デポ50のクリーニング時に、シャワーヘッド30にバイアス電圧を印加し、プラズマ中のイオンを吸引し、該イオンによってSiが酸化されたSiO部分及び未だ酸化されていないSi部分を均等にスパッタリングし、これによって、シャワーヘッド30表面を平滑化してブラックシリコン化を防止する。
このとき、シャワーヘッド30に印加するバイアス電圧を、例えば−300V程度までその絶対値を高めることによって、FラジカルのSiOに対するSiへの選択性がなくなり、SiOとSiの両方が均等に削られるようになるので、F含有混合ガスにおけるO濃度の如何に拘わらずブラックシリコン化を防止することができる。しかしながら、バイアス電圧の増大に伴ってシャワーヘッド30表面の削れ量も多くなるので、シャワーヘッド30の摩耗が促進されてしまう。
従って、本実施の形態においては、Siからなるシャワーヘッド30のブラックシリコン化を防止しつつ、シャワーヘッド30の摩耗量を最小限にするために、シャワーヘッド30に印加する直流電圧を−80V〜−100Vとし、且つF含有混合ガス中のOガスの混合比率を50%以上とする。この条件を満たすことにより、シャワーヘッド30の表面の削れ量を必要最小限に保持しつつブラックシリコン化を防止して肩デポ50を良好に分解、除去することができる。
バイアス電圧の絶対値を80Vよりも小さくするとイオンの引き込み量が不十分で、十分なブラックシリコン化防止効果が得られず、一方、バイアス電圧の絶対値を100Vよりも大きくするとイオンの引き込み量が多くなりすぎて、シャワーヘッド30表面を必要以上に削って摩耗させることになる。
本実施の形態において、肩デポ50を除去するクリーニング開始当初から、既にシャワーヘッド30の表面が荒れている場合は、シャワーヘッド30に印加するバイアス電圧をクリーニング開始当初の所定時間だけ高くしてシャワーヘッド30の表面を積極的に削って平滑化することができる。
このとき、クリーニング開始当初のシャワーヘッド30に印加するバイアス電圧を−150V〜−300Vとする。また、肩デポ50を分解、除去する全クリーニング時間は、例えば10〜180秒間であり、クリーニング開始当初の高いバイアス電圧を印加する時間を、例えば10〜20秒間とする。これによって、クリーニング開始当初は、シャワーヘッド30の表面に比較的多量のイオンを引きつけて該イオンによって荒れた表面を積極的にスパッタリングして平滑化し、その後、通常の条件、例えば−80V〜−100Vのバイアス電圧を印加してブラックシリコン化を防止しつつESC24の外周部に付着した肩デポ50を分解、除去する。
このとき、クリーニング開始当初のバイアス電圧の絶対値を150Vよりも小さくすると、イオンの引き込み量が不足してシャワーヘッド30の表面平滑化効果が十分得られない。一方、バイアス電圧の絶対値を300Vよりも大きくすると、イオン引き込み量が多すぎてシャワーヘッド30の表面が削れ過ぎて摩耗を促進させることになる。また、バイアス電圧を高くするクリーニング開始当初の時間が10秒よりも短いと、シャワーヘッド30の表面平滑化効果が不十分であり、一方、20秒を超えるとシャワーヘッド30の表面を必要以上に削ることになる。
クリーニング開始当初の所定時間内において、且つバイアス電圧の絶対値を適正範囲内で高くすることにより、シャワーヘッド30の表面の平滑性を回復させ、且つその後の表面荒れを抑制できるので、チャンバ内のクリーニング又はメンテナンスサイクルが延び、これによって基板処理装置における処理効率又は生産性が向上する。
本実施の形態において、バイアス電圧として直流電圧を用いたが、同様の効果が得られる交流電圧を印加することもできる。
本実施の形態において、肩デポ50は、CFベースで、Si及び金属のうち少なくともいずれかを含むデポである。C及びFはCHF等のデポガスの構成元素であり、Si及びメタル例えばAlは、チャンバ内部材又はウエハWから放出されたものである。すなわち、Siは、例えばSiOからなるハードマスクをマスク材として有機膜をエッチングする際にハードマスクから放出される。またハードマスクとして、例えばTiO膜を用いた場合は、Tiが放出され、肩デポ50にTiが混入することになる。Alは、チャンバ内部材、例えばESC24の構成元素であり、チャンバ内部材から放出される。
本実施の形態において、Si又はSiCからなるチャンバ内部材としてシャワーヘッドについて説明したが、フォーカスリング又はその他のチャンバ内部材がSi又はSiCで構成されている場合は、当該フォーカスリング等についても同様に対処することができる。
本実施の形態において、肩デポ50を除去するクリーニングステップは、枚葉に、すなわち、各ウエハWに対してプラズマ処理を行うごとに実施される。
次に、本発明の第2の実施の形態に係るチャンバ内クリーニング方法ついて説明する。
本実施の形態は、肩デポが多層のデポからなる多層肩デポである場合、多層肩デポの各層に応じてクリーニング条件、特に、プラズマを生成する処理ガス組成を変更するものである。
図4は、多層肩デポを示す断面図である。図4において、ESC24の外周部24aに多層肩デポ60が付着、堆積している。多層肩デポ60は、CF成分からなる下層デポ61と、下層デポ61の表面に堆積したCFベースにSi及びAlを含有する中間層デポ62と、中間層デポ62の表面に堆積したCF成分からなる上層デポ63とで構成されている。下層デポ61及び上層デポ63の主成分は、炭素(C)、フッ素(F)、及び酸素(O)である。一方、中間層デポ62の主成分は、炭素(C)、フッ素(F)、酸素(O)、珪素(Si)及びアルミニウム(Al)である。
このような多層肩デポは、以下のようにして除去される。
図5は、多層肩デポ60を除去するチャンバ内クリーニング方法を示す工程図である。
図5において、多層肩デポ60をクリーニングする際は、先ず、図1の基板処理装置10のESC24に載置された処理後のウエハWを搬出して多層肩デポ60を露出させる(図5(A))。次いで、チャンバ11内の圧力をAPCバルブ等によって、例えば1.06×10Pa(800mTorr)に設定する。また、チャンバ内温度を例えば40〜90℃に設定する。
そして、シャワーヘッド30の外側バッファ室39bから対応するガス流路を介してO単ガスを流量、例えば1500sccmでチャンバ11内へ供給する。そして、ESC24に励起用電力として、例えば750Wを印加し、バイアス電力として0Wを印加する。このとき、チャンバ内に供給されたOガスは処理空間Sに印加された励起用電力によって励起されてプラズマになり、イオンやラジカルが発生する(図5(B))。これらのイオンやラジカルはESC24の外周部24aに堆積した多層肩デポ60の上層デポ63に衝突し、当該上層デポ63を分解、除去する(図5(C))。
このとき、ESC24は、例えばAlで構成されているので、酸素プラズマによってエッチングされることがない。従って、外側バッファ室39bだけでなく内側バッファ室39aからも酸素ガスを導入してもよく、また、ESC24の表面を覆うマスキング材を適用する必要はない。
上層デポ63を分解、除去した後、Oガスの供給を停止してチャンバ11内をクリーニング開始当初の初期状態に戻す。その後、シャワーヘッド30の外側バッファ室39bから対応するガス流路を介してOガス及びCFガスの混合ガスをチャンバ11内へ供給する。Oガスの流量は、例えば1500sccm、CFガスの流量は、例えば1500sccmであり、Oガス及びCFガスからなるF含有混合ガスにおけるOガスの混合比率は、50%である。また、このとき、内側バッファ室39aから対応するガス流路を介してO単ガスを流量、例えば1500sccmでチャンバ11内へ供給する。
そして、ESC24に励起用電力として750W、バイアス電力として0Wを印加する。このとき、外側バッファ室39bから供給されたOガス及びCFガスを含むF含有混合ガスは処理空間Sに印加された高周波電力によって励起されてプラズマになり、イオンやラジカルが発生する(図5(D))。これらのイオンやラジカルのうち主としてFラジカルが多層肩デポ60の中間層デポ62に衝突し、該中間層デポ62を分解、除去する(図5(E))。
このとき、ESC24の外周部24a以外の上部表面には、Oプラズマのラジカルが照射され、これによってESC24の外周部24a以外の上部表面へのFラジカルの照射が妨げられる。従って、ESC24の外周部24a以外の上部表面がFラジカルに被曝されて削れることはない。
中間層デポ62を分解、除去した後、F含有混合ガス及びO単ガスの供給を停止してチャンバ11内を初期状態に戻す。その後、シャワーヘッド30の外側バッファ室39bから対応するガス流路を介してO単ガスを流量、例えば1500sccmでチャンバ11内へ供給する。そして、ESC24に励起用電力として750W、バイアス電力として0Wを印加する。このとき、チャンバ内に供給されたOガスは処理空間Sに印加された高周波電力によって励起されてプラズマになり、イオンやラジカルが発生する(図5(F))。
これらのイオンやラジカルはESC24の外周部の肩部24aに堆積した下層デポ61に衝突し、該下層デポ61を分解、除去する(図5(G))。このようにして、ESC24の外周部に堆積した多層肩デポ60を分解、除去した後、所定のプラズマ処理を施す未処理のウエハWをチャンバ11内に搬入する。
本実施の形態によれば、Siや金属を含まないCF成分をベースとする下層デポ61及び上層デポ63と、CFベースにSi及び金属としてAlを含む中間層デポ62とからなる多層肩デポ60を、各層に見合ったプラズマを用いて効率よく分解、除去することができる。
本実施の形態において、処理ガスをO単ガスからF含有混合ガスに切り換えるタイミング、及びF含有混合ガスからO単ガスに切り換えるタイミングは、通常、事前に同様の多層肩デポ60を用いた実験等によって求められる。なお、チャンバ内に特定元素の検知手段を装備し、クリーニング中における特定の元素の検出又は、検出停止をトリガーとして切り換えることもできる。
本実施の形態においても、上記第1の実施の形態と同様、シャワーヘッド30のブラックシリコン化を防止する対策、及びクリーニング当初からシャワーヘッド30表面が荒れている場合は、クリーニング開始当初に表面を平滑化する対策を講ずることができる。
上述した各実施の形態において、プラズマ処理が施される基板は半導体デバイス用のウエハに限られず、LCD(Liquid Crystal Display)を含むFPD(Flat Panel Display)等に用いる各種基板や、フォトマスク、CD基板、プリント基板等であってもよい。
また、本発明の目的は、上述した各実施の形態の機能を実現するソフトウェアのプログラムコードを記憶した記憶媒体を、システム或いは装置に供給し、そのシステム或いは装置のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出し実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラムコード自体が上述した各実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。
また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。
また、コンピュータが読み出したプログラムコードを実行することにより、上述した各実施の形態の機能が実現されるだけではなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部または全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
10 基板処理装置
11 処理室(チャンバ)
24 静電チャック(ESC)
30 シャワーヘッド
31 上部電極
36、43、44 ガス流路
39 バッファ室
39a 内側バッファ室
39b 外側バッファ室
50 肩デポ
60 多層肩デポ

Claims (14)

  1. 基板にプラズマ処理を施す基板処理装置のチャンバ内に設けられ、前記基板を載置する台状の静電チャックの外周部に付着したCFベースでSi及び金属のうち少なくとも1つを含有する堆積物を除去するチャンバ内クリーニング方法において、
    酸素ガスとフッ素含有ガスとの混合ガスから生成されたプラズマを前記静電チャックの外周部に照射して前記堆積物を除去し、前記静電チャックの外周部以外の露出表面を、マスキング材で被覆し、
    前記基板処理装置は、前記静電チャックとは空間を隔てて対向する上部に、Si又はSiCからなる上部電極を備えており、該上部電極に、−80V〜−100Vの直流電圧を印加すると共に、前記混合ガス中の酸素ガスの混合比率を50%以上とすることを特徴とするチャンバ内クリーニング方法。
  2. 前記混合ガスを、前記静電チャックの外周部に向けて供給し、前記混合ガスから生成されたプラズマを前記静電チャックの外周部のみに選択的に照射することを特徴とする請求項1記載のチャンバ内クリーニング方法。
  3. 前記混合ガスの前記チャンバ内への供給圧力を1.33×10Pa(100mTorr)〜1.33×10Pa(1000mTorr)に調整することを特徴とする請求項2記載のチャンバ内クリーニング方法。
  4. 前記マスキング材は、酸素ガス、アルゴンガス及びヘリウムガスの少なくともいずれかからなるマスキングガスであり、該マスキングガスを前記静電チャックの外周部を除く中心部に吹き付けることを特徴とする請求項1記載のチャンバ内クリーニング方法。
  5. 前記堆積物を除去するクリーニングステップの所要時間は、10〜180秒間であり、前記クリーニングステップの開始当初の10〜20秒間だけ、前記上部電極へ印加する直流電圧を−150V〜−300Vとすることを特徴とする請求項記載のチャンバ内クリーニング方法。
  6. 前記金属は、Alであることを特徴とする請求項1乃至のいずれか1項に記載のチャンバ内クリーニング方法。
  7. 前記堆積物を除去するクリーニングステップを、前記プラズマ処理が施された処理後の前記基板を前記チャンバから搬出した後、次に処理する処理前の前記基板を前記チャンバ内に搬入するまでに行うことを特徴とする請求項1乃至のいずれか1項に記載のチャンバ内クリーニング方法。
  8. 基板にプラズマ処理を施す基板処理装置のチャンバ内に設けられ、前記基板を載置する台状の静電チャックの外周部に付着したCFベースでSi及び金属のうち少なくとも1つを含有する堆積物を除去するチャンバ内クリーニング方法において、
    酸素ガスとフッ素含有ガスとの混合ガスから生成されたプラズマを前記静電チャックの外周部に照射して前記堆積物を除去し、前記静電チャックの外周部以外の露出表面を、マスキング材で被覆し、
    前記堆積物は多層堆積物であり、前記堆積物の各層に応じて前記プラズマを生成する処理ガス組成を変更することを特徴とするチャンバ内クリーニング方法。
  9. 前記混合ガスを、前記静電チャックの外周部に向けて供給し、前記混合ガスから生成されたプラズマを前記静電チャックの外周部のみに選択的に照射することを特徴とする請求項8記載のチャンバ内クリーニング方法。
  10. 前記混合ガスの前記チャンバ内への供給圧力を1.33×10Pa(100mTorr)〜1.33×10 Pa(1000mTorr)に調整することを特徴とする請求項9記載のチャンバ内クリーニング方法。
  11. 前記マスキング材は、酸素ガス、アルゴンガス及びヘリウムガスの少なくともいずれかからなるマスキングガスであり、該マスキングガスを前記静電チャックの外周部を除く中心部に吹き付けることを特徴とする請求項8記載のチャンバ内クリーニング方法。
  12. 前記金属は、Alであることを特徴とする請求項8乃至11のいずれか1項に記載のチャンバ内クリーニング方法。
  13. 前記堆積物が、CFベースの第1の堆積物と、CFベースでSi及びAlの少なくともいずれかを含む第2の堆積物とを少なくとも含む多層堆積物の場合、前記第1の堆積物を、酸素ガスから生成されたプラズマによって除去し、前記第2の堆積物を、前記混合ガスから生成されたプラズマによって除去することを特徴とする請求項8記載のチャンバ内クリーニング方法。
  14. 前記堆積物を除去するクリーニングステップを、前記プラズマ処理が施された処理後の前記基板を前記チャンバから搬出した後、次に処理する処理前の前記基板を前記チャンバ内に搬入するまでに行うことを特徴とする請求項8乃至13のいずれか1項に記載のチャンバ内クリーニング方法。
JP2009203584A 2009-09-03 2009-09-03 チャンバ内クリーニング方法 Expired - Fee Related JP5364514B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009203584A JP5364514B2 (ja) 2009-09-03 2009-09-03 チャンバ内クリーニング方法
CN201010264380.1A CN102013388B (zh) 2009-09-03 2010-08-20 腔室内清洁方法
US12/873,458 US8999068B2 (en) 2009-09-03 2010-09-01 Chamber cleaning method
KR1020100085685A KR101697285B1 (ko) 2009-09-03 2010-09-01 챔버 내 클리닝 방법
TW099129694A TWI525694B (zh) 2009-09-03 2010-09-02 Chamber cleaning method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009203584A JP5364514B2 (ja) 2009-09-03 2009-09-03 チャンバ内クリーニング方法

Publications (3)

Publication Number Publication Date
JP2011054825A JP2011054825A (ja) 2011-03-17
JP2011054825A5 JP2011054825A5 (ja) 2012-10-18
JP5364514B2 true JP5364514B2 (ja) 2013-12-11

Family

ID=43623019

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009203584A Expired - Fee Related JP5364514B2 (ja) 2009-09-03 2009-09-03 チャンバ内クリーニング方法

Country Status (5)

Country Link
US (1) US8999068B2 (ja)
JP (1) JP5364514B2 (ja)
KR (1) KR101697285B1 (ja)
CN (1) CN102013388B (ja)
TW (1) TWI525694B (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
CN102789960A (zh) * 2011-05-16 2012-11-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备腔室的等离子清洗方法
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
CN102896129A (zh) * 2012-11-01 2013-01-30 常州捷佳创精密机械有限公司 一种用于太阳能光伏清洗槽的慢提抽风装置
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
CN103871865B (zh) * 2012-12-18 2016-08-17 中微半导体设备(上海)有限公司 一种清洁等离子体反应腔侧壁的方法
CN104282519B (zh) * 2013-07-12 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置的清洁方法
JP2015053384A (ja) * 2013-09-06 2015-03-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
JP6284786B2 (ja) * 2014-02-27 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
JP6285213B2 (ja) * 2014-03-03 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
US9824865B2 (en) 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
FR3020641A1 (fr) * 2014-04-30 2015-11-06 Ion Beam Services Dispositif de diffusion de gaz passive
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
CN104867804B (zh) * 2015-03-30 2017-02-01 上海华力微电子有限公司 晶片刻蚀腔室的清洗方法
CN105590849B (zh) * 2016-02-29 2018-08-28 上海华力微电子有限公司 一种解决hdp psg制程厚度均一性持续跳高的方法
JP6854600B2 (ja) * 2016-07-15 2021-04-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および基板載置台
US10559451B2 (en) 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
JP7072439B2 (ja) * 2017-05-12 2022-05-20 東京エレクトロン株式会社 プラズマ処理装置の洗浄方法
CN107706076B (zh) * 2017-08-16 2019-04-12 上海华力微电子有限公司 一种改善cmos图像传感器刻蚀腔体金属污染的方法
CN109904054B (zh) * 2017-12-08 2021-08-13 北京北方华创微电子装备有限公司 腔室环境恢复方法及刻蚀方法
JP7055031B2 (ja) * 2018-02-16 2022-04-15 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
US20190341275A1 (en) * 2018-05-07 2019-11-07 Lam Research Corporation Edge ring focused deposition during a cleaning process of a processing chamber
CN108847390B (zh) * 2018-06-13 2021-04-02 上海华力微电子有限公司 一种等离子体刻蚀的方法
JP7378276B2 (ja) * 2019-11-12 2023-11-13 東京エレクトロン株式会社 プラズマ処理装置
JP7270863B1 (ja) 2019-11-29 2023-05-10 東京エレクトロン株式会社 プラズマ処理装置における載置台のクリーニング方法およびプラズマ処理装置
JP7229904B2 (ja) 2019-11-29 2023-02-28 東京エレクトロン株式会社 プラズマ処理装置における載置台のクリーニング方法およびプラズマ処理装置
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
JP7394668B2 (ja) 2020-03-13 2023-12-08 東京エレクトロン株式会社 温度制御方法およびプラズマ処理装置
TWI771977B (zh) * 2021-04-07 2022-07-21 台灣積體電路製造股份有限公司 沉積室的清潔方法
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
TW202307954A (zh) 2021-05-25 2023-02-16 日商東京威力科創股份有限公司 清潔方法及電漿處理方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3568749B2 (ja) * 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
JP3559691B2 (ja) 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
JP2001267406A (ja) * 2000-03-21 2001-09-28 Mitsubishi Electric Corp 静電吸着電極のクリーニング方法および装置
US7028696B2 (en) * 2001-05-04 2006-04-18 Lam Research Corporation Plasma cleaning of deposition chamber residues using duo-step wafer-less auto clean method
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP2003151971A (ja) 2001-11-14 2003-05-23 Mitsubishi Heavy Ind Ltd チャンバークリーニング方法、成膜装置、及び半導体装置の製造方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
DE10219108A1 (de) * 2002-04-29 2004-01-29 Advanced Micro Devices, Inc., Sunnyvale Hocheffizienter Fernreinigungsprozess für Prozesskammern in Abscheideanlagen
KR100447284B1 (ko) * 2002-07-19 2004-09-07 삼성전자주식회사 화학기상증착 챔버의 세정 방법
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR20050059451A (ko) * 2003-12-15 2005-06-21 삼성전자주식회사 기판 가공 공정의 종점 검출 장치
JP2006005128A (ja) * 2004-06-17 2006-01-05 Mitsubishi Heavy Ind Ltd プラズマcvd装置及びそのクリーニング方法
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts

Also Published As

Publication number Publication date
US8999068B2 (en) 2015-04-07
CN102013388B (zh) 2013-09-25
CN102013388A (zh) 2011-04-13
TWI525694B (zh) 2016-03-11
US20110048453A1 (en) 2011-03-03
JP2011054825A (ja) 2011-03-17
KR101697285B1 (ko) 2017-01-17
KR20110025142A (ko) 2011-03-09
TW201131638A (en) 2011-09-16

Similar Documents

Publication Publication Date Title
JP5364514B2 (ja) チャンバ内クリーニング方法
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
JP4963842B2 (ja) 基板処理室の洗浄方法、記憶媒体及び基板処理装置
KR101720670B1 (ko) 기판 처리 장치 및 그 클리닝 방법 및 프로그램을 기록한 기록매체
JP5357710B2 (ja) 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US20150340210A1 (en) Plasma processing method
JP2008078515A (ja) プラズマ処理方法
TWI743123B (zh) 電漿處理方法
US9460896B2 (en) Plasma processing method and plasma processing apparatus
US9818582B2 (en) Plasma processing method
JP2010199475A (ja) プラズマ処理装置のクリーニング方法及び記憶媒体
JP2016086046A (ja) プラズマ処理方法
JP3905462B2 (ja) プラズマ処理方法およびプラズマ処理装置
WO2006120843A1 (ja) プラズマクリーニング方法、成膜方法およびプラズマ処理装置
JP2009200182A (ja) 付着物除去方法及び基板処理方法
JP2006319042A (ja) プラズマクリーニング方法、成膜方法
JP2006210461A (ja) プロセス装置の洗浄方法、該方法を実行するためのプログラム、及び記憶媒体
JP2021077662A (ja) ウエハ、クリーニング方法、基板処理装置およびプラズマ処理システム
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120903

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130909

R150 Certificate of patent or registration of utility model

Ref document number: 5364514

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees