CN100401451C - 用于加工工件的真空等离子体腔室及采用其的加工装置 - Google Patents

用于加工工件的真空等离子体腔室及采用其的加工装置 Download PDF

Info

Publication number
CN100401451C
CN100401451C CNB028155297A CN02815529A CN100401451C CN 100401451 C CN100401451 C CN 100401451C CN B028155297 A CNB028155297 A CN B028155297A CN 02815529 A CN02815529 A CN 02815529A CN 100401451 C CN100401451 C CN 100401451C
Authority
CN
China
Prior art keywords
electrode
zone
plasma
chamber
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028155297A
Other languages
English (en)
Other versions
CN1539156A (zh
Inventor
R·丁德萨
M·斯里尼瓦杉
E·兰茨
李鲁民
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1539156A publication Critical patent/CN1539156A/zh
Application granted granted Critical
Publication of CN100401451C publication Critical patent/CN100401451C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Abstract

一种用于加工工件的真空等离子体腔室,该腔室包括:一受约束的等离子体激发区域,它布置成使气体能流入该区域、使气体能在该区域中被激发成等离子体、使未离子化的气体能流出该区域、并且基本阻止等离子和离子化的气体从该区域流出,一围绕该区域且处于一参考电位的壁,以及在该区域下游供气体流出该区域的一出口;所述区域包括:用于与该区域中的气体电气耦合并连接至一相对较高频率的第一射频电源的一第一电极,用于承载工件、且与该腔室中的气体电气耦合并连接至一相对较低频率的第二射频电源的一第二电极,所述腔室设有整个地位于该区域中并连接至参考电位的一第三电极。

Description

用于加工工件的真空等离子体腔室及采用其的加工装置
与待审批申请的关系
本申请是我们的待审批、共同转让的临时申请序列号60/311,050的部分延续,上述申请提出于2001年8月8日,名为“带有独立的等离子体密度/化学性质和离子能量控制的双频等离子体蚀刻反应器(Dual Frequency PlasmaEtch Reactor with Independent Plasma Density/Chemistry and Ion EnergyControl)”。
技术领域
本发明总体涉及真空等离子体加工装置,并更具体地涉及这样一种真空等离子体加工装置,其包括一等离子体激发区域,该区域包括分别连接至高和低频率的激发电源以及至一参考电位的第一、第二及第三电极,其中激发区域被约束在其壁处于参考电位的一真空腔室内。本发明的另一方面涉及这样一种真空等离子体加工装置,其包括分别用高和低频率射频(RF)激发在该真空等离子体加工装置中的一等离子体的一阻抗元件和一电极,其中用于高和低频率供电电路中的一滤波器结构使低频率的电流流过电极和阻抗元件之间的等离子体,并基本阻止高频率的电流从阻抗元件流至电极。
背景技术
人们使用真空等离子体加工装置来在工件上沉积材料或从工件蚀刻去材料,这些工件通常是半导体晶片、介电和金属基片。将一气体引入到其中放置了工件的真空等离子体加工装置中。腔室的压力通常在0.1至1000托的范围中。该气体响应一射频电场或电磁场而被激发成一射频等离子体。射频场是由一阻抗元件提供的,通常它是一电极组、或者是将磁或静电射频场耦合至气体的一线圈。阻抗元件连接至具有一第一相对高射频频率的一第一射频电源,且该电源具有足够的功率并使气体能被激发成等离子体。第一射频电源与阻抗元件之间的连接通常借助于直接连接至第一射频电源的相对较长的电缆。一第一谐振匹配网络连接在电缆与阻抗元件之间,并通常包括一对可变电抗,它们调节成使第一射频电源的阻抗与其所驱动的负荷的相匹配。
通常,通过腔室的顶部将气体引入到腔室中,并从腔室的底部抽出气体。通常,在腔室顶部的一电极与通至激发区域中的一组导流板和开孔相关联,以为流入激发区域的气体提供一喷淋头的效果。
工件通常安装在腔室中一等离子体激发区域的底部处的一电极上。在一些腔室中,承载工件的电极是供以第一射频频率的阻抗元件,而与承载工件的电极间隔开的另一电极则连接至一参考电位,通常为接地。如前面所提及的待审批临时申请的图4中所示,已知提供这样一种腔室,它带有外壁和一位于腔室内部的等离子体约束区域。
在其它类型的腔室中,阻抗元件是与在激发区域底部处承载工件的电极间隔开的。在这些其它类型腔室中的一些中,如前面所提及的待审批临时申请的图1中所示,阻抗元件是邻近激发区域顶部的一线圈,并且承载工件的电极经常连接至一第二射频电源,该第二射频电源具有比第一射频频率低得多的一第二射频频率。因为第二射频电源致使在电极上形成一DC电压,所以它经常被称为一DC偏压电源,且它经常通过一第二谐振匹配网络而连接至电极,且第二谐振匹配网络通常包括一对可变电抗,它们调节成使第二射频电源的阻抗与其所驱动的负荷相匹配。如果阻抗元件是一线圈,则第二射频电压通常具有在2MHz范围内的频率。在这些其它类型的腔室中,线圈置于腔室外面,并且在线圈中所产生的电磁场通过在腔室顶部处的介电窗口来耦合至腔室的一激发区域中的气体。
第一,即超过10MHz的相对较高的频率控制等离子体的密度,而第二,即低于10MHz的相对较低的频率控制等离子体中的离子能量。通过独立地控制第一和第二射频电源的诸如功率、电压和/或电流之类的电气参数,就可以独立地控制等离子体密度和离子能量。这些其它类型的真空腔室包括一金属壁,且其通常保持在一参考电位、例如接地。
在还有一些其它类型的腔室中,其中在激发区域顶部处的电极连接至一参考电位,将第一,即相对较高的射频频率供至金属壁,且该金属壁起到阻抗元件的作用,而将第二,即相对较低的射频频率供至承载工件的电极。当真空腔室包括一金属壁时,金属壁通常形成等离子体激发区域的外部。
在再一些结构中,腔室壁是一绝缘体,并且等离子体激发区域的外部是由与腔室壁间隔开的等离子体约束百页窗来形成的,并被保持在一参考电位、例如接地。在激发区域中被激发成等离子体的气体穿过百页窗流至在腔室底部处的一出口。在这些其它的结构中,在激发区域的顶部处的电极连接至参考电位。
尽管前述的结构已经足以用来加工现有技术的工件,但是人们不认为它们适于对某些工件、特别是半导体晶片的亚微米加工,以满足对蚀刻和沉积薄膜日益增长的需求。满足日益增长的需求的等离子体加工装置的关键设计参数是在等离子体中产生和保持离子和根基对中性物质的正确比例,离子、根基和中性物质在工件上方的激发区域中的滞留时间,以及对入射到基片上的离子能量的控制。这些参数提供宽泛的过程控制,以为许多类型的蚀刻和沉积过程形成正确的工艺。此外,对于具有较大面积的工件,加工每一工件的成本成为了加工装置设计中的一个重要的参数。需要清洁腔室内部的平均时间间隔、消耗品的成本、加工装置的可使用性、以及各种其它的因素都会影响在加工装置中加工工件的成本。
发明内容
本发明提供一种用于加工工件的真空等离子体腔室,该腔室包括:一受约束的等离子体激发区域,它布置成使气体能流入该区域、使气体能在该区域中被激发成等离子体、使未离子化的气体能流出该区域、并且基本阻止等离子和离子化的气体从该区域流出,一围绕该区域且处于一参考电位的壁,以及在该区域下游供气体流出该区域的一出口;所述区域包括:用于与该区域中的气体电气耦合并连接至一相对较高频率的第一射频电源的一第一电极,用于承载工件、且与该腔室中的气体电气耦合并连接至一相对较低频率的第二射频电源的一第二电极,所述腔室的特点是,设有整个地位于该区域中并连接至参考电位的一第三电极。
本发明还提供一种真空等离子体加工装置,该加工装置包括:一用于加工工件的真空等离子体腔室,一相对较高频率的第一射频电源,一相对较低频率的第二射频电源,该腔室包括:一受约束的等离子体激发区域,它布置成使气体能流入该区域、使气体能在该区域中被激发成等离子体、使未离子化的气体能流出该区域、并且基本阻止等离子和离子化的气体从该区域流出,一围绕该区域且处于一参考电位的壁,以及在该区域下游供气体流出该区域的一出口;所述区域包括:用于与该区域中的气体电气耦合并连接至所述相对较高频率的第一射频电源的一第一电极,用于承载工件、且与该腔室中的气体电气耦合并连接至所述相对较低频率的第二射频电源的一第二电极,其特点是,所述腔室设有整个地位于该区域中并连接至参考电位的一第三电极。
本发明的一个方面涉及一种用于加工工件的真空等离子体腔室,其中,该腔室包括连接至一相对较高频率的第一射频等离子体激发电源的一等离子体激发阻抗元件,并且一用于承载工件的电极连接至一相对较低频率的第二射频偏压电源。连接至阻抗元件和电极的一滤波器结构构造成使低频率的电流从电极流至阻抗元件,且基本不耦合至高频电源,以及,基本阻止从高频电源流出的高频率的电流流至电极和低频射频电源。
在一较佳的实施例中,滤波器结构包括第一和第二滤波器。第一滤波器连接至阻抗元件,并使高频率的电流能从高频电源流至阻抗元件,且基本阻止低频率的电流从阻抗元件流至高频电源。第二滤波器连接至电极,并使低频率的电流从低频电源流至电极,并基本阻止高频率的电流流至电极和低频射频电源。
第一滤波器较佳地是包括一与阻抗元件分路连接的带通滤波器。该分路滤波器的通频带可供低频率的电流通过,并大量衰减掉高频率的电流。第二滤波器最好包括一与电极串联的带通滤波器。该串联的滤波器的通频带可供低频率的电流通过,并大量衰减掉高频率的电流。
本发明的另一方面涉及一种用于加工工件的真空等离子体腔室,其中,该腔室包括分别连接至相对较高和较低频率的射频电源的第一和第二电极、处于参考电位的一壁、以及约束等离子体并与所述壁间隔开的一等离子体激发区域。
本发明的还有一个方面涉及一种用于加工工件的真空等离子体腔室,其中,该腔室包括分别连接至相对较高和较低频率的射频电源的第一和第二电极、处于参考电位的一壁、以及用于约束等离子体的一等离子体激发区域。该等离子体激发区域包括第一和第二电极、以及从所述壁间隔开并处于参考电位的百页窗。等离子体激发区域布置成使要激发成等离子体的气体能在诸百页窗之间流入该区域和流出该区域。
本发明的另一方面涉及一种用于加工工件的真空等离子体腔室,其中,该腔室包括分别连接至相对较高和较低频率的射频电源的第一和第二电极、和连接至在一激发区域中的、连接至一参考电位(接地)的一第三电极。激发区域、亦即包括等离子体的区域通过一物理的等离子体约束结构与腔室壁基本隔离,所述等离子体约束结构在使未离子化的气体从激发区域内部流至其外部的同时,电气地和/或机械地将等离子体约束在激发区域中。
在一较佳的实施例中,诸电极被包括在至少具有一个侧面的等离子体激发区域中,且该激发区域较佳地包括处于参考电位且与腔室壁间隔开的百页窗。该较佳实施例的等离子体约束区域包括位于激发区域的相对表面上且处于参考电位的第一和第二表面。第一和第二表面分别位于诸百页窗和电极之间。
该较佳实施例的激发区域的几何形状使等离子体与加电电极、以及处于参考电位的第一与第二表面之间形成不同的护层。该较佳实施例的激发区域的几何形状还使(1)低频率的电流趋向于更大程度地流至诸电极之间、而非从低频率所驱动的电极流至处于参考电位的表面,以及(2)高频率的电流趋向于更大程度地流至高频率驱动的电极至处于参考电位的激发区域表面之间、而非诸电极之间。
当考虑了以下对本发明的一个特定实施例的详细描述,特别是结合附图进行考虑时,本发明的上述和还有其它的目的、特征及优点会变得清楚。
附图说明
图1是根据本发明的一较佳实施例的等离子体加工装置的示意图;
图2是示出了图1所示加工装置中的真空等离子体加工装置腔室的一较佳实施例的剖视图;以及
图3是图1所示等离子体加工装置的电路示意图。
具体实施方式
现请参见诸图中的图1,其中等离子体加工装置10所示为包括真空腔室12,其较佳地是具有一圆筒状的设计,以使该腔室相对其中心纵轴线13对称。腔室12包括保持在射频和DC参考电位(例如接地)下的高导电性的圆筒状金属侧壁14,还包括圆形顶盖16和圆形基底18。顶盖16包括环形的外部高导电性金属板20,该金属板20在其下表面上带有高导电性的环21。板20和环21电气地和机械地连接至壁14,以使板20和环21也处于射频和DC接地电位。顶盖16还包括圆形的金属电极22(一等离子体激发阻抗元件)和环形的电气绝缘体24,该绝缘体24将电极22从板20分开并电气地绝缘。板20、环21、电极22以及绝缘体24与轴线13共轴线。
基底18包括一环形的外金属板26,该金属板电气地和机械地连接至壁14,以使板26也处于射频和DC接地电位。板26带有高导电性的金属管28,该金属管的外径为壁14内径的约百分之80。管子28凸伸入腔室12中,并且机械地和电气地连接至板26,以使圆柱体也处于射频和DC接地电位。管子28带有高导电性的环29,该环径向向腔室12的内部延伸,且该环平行于基底板26并处于射频和DC接地电位。板26还带有管状的电气绝缘体30,该电气绝缘体30具有一接触管子28的内侧壁的外侧壁和一支承环29的下表面的上表面。绝缘体30的上表面还带有电气绝缘体环32和用于承载工件36的圆形电极34,该工件36较佳的是一半导体晶片,但也可以是一介电或金属基片。由于环具32有分别与环29的内缘和电极34的外缘邻靠的外缘和内缘,环32可将电极34与环29电气地绝缘。电极34经常设置为连接至一DC吸引电压电源(未示出)的一静电吸盘,在这种情况下,电极34包括用来在工件在腔室12中由等离子体进行加工的同时冷却工件36的一装置(未示出)。板26、管子28、环29、绝缘体30、环32以及电极34是与轴线13共轴线的;当工件36处在电极34上正确的位置时,工件也与轴线13共轴线。
从适合的源头(未示出)发出的工艺气体通过电极22被引入到等离子体激发区域38中,该电极包括一组导流板和开孔,以使工艺气体能以喷淋头的效果流入区域38中。在等离子体激发区域38中,工艺气体被转换成等离子体,该等离子体响应电场对工件36进行加工,电极22和34分别以相对的高频率和低频率连接至激发区域;在该较佳的实施例中,高频率和低频率分别为27MHz和2MHz。以高频率供应至电极22的功率量控制在激发区域38中的等离子体的密度,而以低频率供应至电极34的功率量则控制等离子体中的离子能量。尽管低频率和高频率较佳地是2MHz和27MHz,但应予理解的是,也可以采用其它适合的、分别控制等离子体中的离子能量和等离子体密度的低频率和高频率。控制供应至电极22和34的高频率和低频率功率使在工件36上方的等离子体中均匀地产生蚀刻物质,这产生对整个工件的均匀蚀刻。
与轴线13共轴线的等离子激发区域38由于包括百页窗结构40的区域而与侧壁14隔开。在一个实施例中,结构40的诸百页窗接地用于DC和射频,并包括三个垂直向叠置、电气地接地的环41-43,且诸环41-43具有高导电性。接地环41-43、以及环21和29较佳地是用涂覆具有在2×10-3欧姆-厘米量级的高导电性的碳化硅制成,并能承受在区域38中的苛刻的等离子体环境。接地环41-43可以用其它具有高导电性的材料、例如铝或者石墨制成。接地环41-43通过金属柱46电气和机械地连接至接地的金属板20。与轴线13共轴线并相对彼此固定地定位的接地环41-43具有对齐的内缘,这些内缘也与邻靠绝缘体30的外壁的管子28的竖向延伸壁的内径对齐。环41-43具有对齐的外缘,这些外缘也与管子28的竖向延伸的外壁的外径对齐。
环41-43的电气接地有助于将等离子体约束在区域38中的诸百页窗内。环41-43的机械关系也有助于在使区域38中不再离子化或没有离子化的气体能沿着大体水平的方向穿过诸环之间的开口的同时,对等离子体进行约束。气体流过环41-43之间的开口,并进入与轴线13共轴线的环形通道48,且该环形通道48包括分别由管子28的外壁和壁14的内侧所形成的内壁和外壁。因而,区域38可以被认为是一个等离子体约束区域,它与腔室壁14间隔开,在该腔室壁上基本上没有来自区域38的等离子体入射在其上。通道48的底部通过板26中的开孔49连接至一管道(未示出),接着又连接至从腔室12内部抽吸气体并保持腔室内部、区域38外侧处于真空下的一泵,该真空通常具有一小于50毫托的值,并较佳的是约5至10毫托。在区域38中的压力通常要大得多,例如20至500毫托,这是由百页窗结构40所提供的相对较高的流动阻抗来实现的。在一个实施例中,相邻的成对接地百页窗41-43之间的间距是6.35毫米(0.25英寸)。
百页窗41-43无需在所有的例子中都是高导电性和接地,亦即提供电气的等离子体约束的。可以用一机械结构来提供将等离子体适当地约束在区域38中的功能,这样的机械结构包括多个(较佳的是三个)介电的(亦即低导电性)、电气地浮动的百页窗环,它们例如可以用石英或者低导电性的SiC制成。为了提供约束等离子体的效果,这样的百页窗环必须彼此间隔开一定的距离,以提供对从区域38流向百页窗结构40与腔室壁14之间的腔室区域的气体的足够高的流动阻抗。为了帮助提供在区域38与腔室其余部分之间,亦即从区域38内侧到区域38外侧之间的不同压差条件下的所需的等离子体约束,相邻对的三个介电百页窗环之间的间距是可变化的。我们已经发现,对于在区域38中的压力处于200至500毫托之间、区域38外面的压力处于5至10毫托之间的情况,三个浮动百页窗环的相邻对之间的间距应在约0.762至3.175毫米(0.030至0.125英寸)之间。所述压力下的这样的间距提供对区域38的足够的等离子体约束,并基本可防止等离子体入射到壁14上。诸百页窗之间的可变间距可以用传统的机械机构来实现,这样的可变间距有助于确定区域38中的气体压力,以使对间距的调节可控制约束区域的压力和等离子体的约束程度。
等离子体激发区域38由电极22和34、导电环21和29和绝缘体环24和32、以及百页窗环41-43来界定。在激发区域38中的等离子体由一中性微粒的护层来界定,该中性物质的护层在等离子体之间形成一电容,该电容通常被认为是作用在电极22和34以及形成区域38的边界的诸表面上的一电阻负荷。横穿过护层的一DC偏压主要由以低频率供应至电极34的功率量来控制的。
在该较佳的实施例中,界定激发区域38表面的接地电极的面积比界定激发区域表面的加电电极的面积大出许多。较佳地,加电电极对接地电极的面积比为约1.2∶2.5。换言之,界定激发区域38表面的接地环21和29的面积之和约有界定激发区域38表面的加电电极22和34的面积的两倍那么大。我们发现,这个面积比有助于去耦从电极34施加至电极22的高频射频功率。此外,所述的面积比帮助形成横穿电极34的高DC偏压;在各电极上的DC偏压是横穿那个电极和返回电极的护层电容比值的函数。对于2MHz的功率,返回电极包括加电电极22以及接地环21和29;对于来自电极22的27MHz的功率,返回电极主要包括接地环21和29,这是因为带通滤波器64(将在下文描述)基本阻止27MHz的功率耦合到电极34上。电极34上的DC偏压是横穿电极34的护层电容和横穿电极22与横穿接地环21和29的护层电容之和的函数。
DC偏压、并因而与电极34相关的护层电容可以通过包括一DC电压探测器(未示出)来加以主动地控制,该探测器用来监测电极34与等离子体之间的DC电压。这样的DC电压探测器得出一用于控制向电极34供应2MHz的功率、以使在等离子体激发区域内部的所有表面上保持相对较高的偏压的信号。通过优化电极22、34以及接地环21和29之间的适合的面积比,就可以在等离子体激发区域上保持一相对较高的偏压,这帮助去除在工件的加工过程中会沉积在腔室表面上的聚合物。通过去除聚合物,加工装置10就以一清洁的方式运转,以致极大的延长了要进行清洁的情况之间的平均时间,从而提供更大的工件加工生产量。
等离子体激发区域38的体积相对较小,这带来了可使用性、可消耗表面的成本以及加工装置10的总的低成本方面的优点。在一个较佳的实施例中,电极22和34的半径分别为10.5厘米和9.6厘米,并且区域40在中心线13与环41-43的内缘之间的半径为13.45厘米,而各个绝缘体环24和32的外半径为11.4厘米。电极22的底表面与电极34的顶表面之间间距的名义值为1.8厘米。电极22和34的这些表面之间的间距是以下文将述的一种方式可变的,并且可在一限定的范围内变化,以提供对从电极34至电极22的2MHz的激发的耦合的更多控制。已经发现,前述的尺寸有助于使在电极34上形成出一高的DC偏压,并有助于形成从电极34供应至电极22的27MHz的射频功率的去耦。
电路50和52分别为电极22和34提供27MHz和2MHz的激发。电路50包括27MHz的电源54,它具有足够的功率来向电极22供电,以将区域38中的气体激发成一等离子体状态并提供所需的等离子体密度。电路52包括2MHz的电源60,它具有足够的功率来向电极34供电,以在电极34上形成一实质的DC偏压并在激发区域38中的等离子体中形成所需的离子能量。
射频电源54驱动匹配网络56,该匹配网络包括若干可变的电抗(未示出),诸电抗由一已知的方式来控制,以在电源54的输出阻抗与其驱动的负荷、包括电极22和区域38中的等离子体之间保持一阻抗匹配。带通滤波器58连在匹配网络56的输出接线端和电极22之间。滤波器58的通频带设置成,在为电源60所供应至电极34并穿过区域38中的等离子体流到电极22的2MHz的电流提供一接地的低阻抗通路的同时,将电源54的27MHz的输出通至电极22。
滤波器58可以构造为连接在匹配网络56的输出接线端与接地之间的分路中的一分支,其中该分支包括一串联调谐至电源60的2MHz频率的谐振电路。滤波器58的分支可包括呈与一电容串联的电感器形式的一简单的调谐电路。因为连接至匹配网络56的输出接线端,电源54的27MHz的输出是不受滤波器58的影响的,并连接至电极22,但电源60供应向电极34的2MHz的电流通过滤波器58流至接地。因而,滤波器58保护27MHz的电源54不受电极34的2MHz的激发的影响,并且2MHz频率的电流有利地在等离子体中横穿电极22与34的相对表面之间的间隙流动。
电路52的2MHz的电源60驱动包括可变电抗(未示出)的匹配网络62,诸电抗以一已知的方式来控制,以在电源60的输出阻抗与其驱动的负荷、包括电极34和区域38中的等离子体之间保持一阻抗匹配。带通滤波器64连接在匹配网络62的输出接线端与电极34之间。滤波器的通频带设置成,在为电源54所供应至电极22的27MHz的电流提供一高阻抗通路的同时,将电源60的2MHz的输出送至电极34。
滤波器64可以构造为串联连接在匹配网络62的输出接线端与电极34之间的一分支,其中该分支包括一调谐至电源54的27MHz频率的并联的谐振电路。滤波器64的分支可包括呈与一电容并联的电感器形式的一简单的调谐电路。因为连接至匹配网络62的输出接线端,电源60的2MHz的输出是不受滤波器64的影响的,并连接至电极34,但滤波器64阻断电源54供应向电极34的27MHz的电流。因而,滤波器64保护2MHz的电源60不受电极22的27MHz的激发的影响,并且有利地阻止大部分的27MHz频率的电流横穿电极22与34的相对表面之间的间隙流入等离子体中。
由于滤波器64、尤其是27MHz的电流被限定在邻近电极22的区域38中的等离子体部分中。27MHz的激发通常不会穿透该间隙到达邻近电极34和工件36的等离子体。通过将高频率、27MHz的电流限定在区域38中邻近电极22的等离子体部分中,可以实现更好的等离子体密度控制。尽管已经描述了特定的带通滤波器58和64,但是应予理解的是,其它的滤波器结构也可以提供相同或大致相同的效果。
现在请参见诸图中的图2,它是腔室12的一较佳实施例的详细剖视图。圆筒状的壁14包括金属的接地圆柱体70和72,它们分别位于等离子体激发区域38的上方和下方,并且通过金属环74彼此电气地和机械地连接。金属环74包括玻璃的、大体与区域38对齐的观察窗口76。圆柱体70的内壁结合在金属波纹管82的外壁上,后者具有一环形的形状,以使波纹管与轴线13共轴线。波纹管82的内壁粘合在与轴线13共轴线的金属套筒84上。波纹管82有一顶表面,该顶表面连接至可竖向平移的致动器(未示出),用来上下驱动波纹管,以使套筒84能相对包括圆柱体70和72、以及环76的固定侧壁14竖向运动。套筒84与侧壁14之间的相对运动致使电极22和34之间的激发区域38中的间隙可任意改变。
套筒84的下缘固定地连接至一金属制成、较佳地是铝制成的环86的上表面,环86的下表面固定地连接至碳化硅制成的、高导电性环21的上表面的一部分上。装载碳化硅环41-43并较佳地构造成一螺杆的金属柱46被驱入环21和86所共有的一螺纹孔中,以将环41-43固定在区域38周围的适当位置上。较佳地是用三氧化二铝(Al2O3)制成的电气绝缘的环88介入在电气接地的套筒84与加电电极22的金属板85之间,以使套筒84和电极22彼此电气绝缘。环88包括肩部90,该肩部具有板85和套筒84固定连接于上的两相对表面。环88的内壁邻靠高导电性环91的外壁,且其内表面为用于压力探测器92的一腔室提供一外壁。用于压力探测器92的腔室的内壁由较佳地是用三氧化二铝制成的绝缘环34的外壁来提供。板85中的通道94将压力探测器92成流体流动关系地连接至板85顶部处的接头94。
环24包括一向下垂悬的附属部分96,该附属部分具有延伸入激发腔室38中的一底表面,且其略低于碳化硅环21和圆形硅板98的对齐的两底表面,圆形硅板98在激发腔室38上边界上形成部分的电极22。这样的一种结构有助于提供环21和板98之间的电气隔绝。附属部分96的内壁和外壁分别邻靠板98的外缘以及环21的内缘。环24具有内侧的水平和竖向延伸的、与石墨环100的相应表面邻靠的表面,且石墨环100的下缘粘合至硅板98。
电极22除了在电极的顶部包括铝板85和在电极的底部包括硅板98之外,还包括间隔设置的导流板101-103,这些导流板介入在板85和98之间,以为要在区域38中被激发成等离子体的气体提供一条流体流动通路。气体穿过设置在铝板85顶表面上的接头106被引入,且铝板85包括用于将气体供应至导流板的一通道(未示出)。板98包括用于流过导流板101-103的气体的开孔(未示出),以为流入激发区域38的气体提供一喷淋头的效果。铝板85的顶表面机械地和电气地连接至电气连接件108,接着又连接到一导线,该导线连接成响应电源54所产生并流过匹配网络56的27MHz的电流。板85的顶表面还包括连接至一冷却剂流体(未示出)源的通道109,以用于控制电极22的温度。
底部组件的接地金属管28包括铝环110,该环的上表面电气地和机械地连接至碳化硅环29的下表面,且其下表面通过多个金属块112电气地和机械地连接至铝管114的外侧壁。诸金属块112在通道48中围绕管子114的外侧壁等间距地设置,以使诸块不会对通道48中、从激发区域38至金属基底板18中的开孔的气体流动产生明显的影响。板18电气地连接至管子72和114的下缘。从而,一起形成图1中的管子28的环110和管子114处于与管子72和壁114相同的射频和DC接地电位。
包括石英环116和三氧化二铝环118的电气绝缘组件115将金属环110和金属管114与电极34电气绝缘。绝缘环116有一邻近但稍稍从碳化硅环29的底表面间隔开的顶表面,以及一邻近但稍稍与环110的内壁间隔开的外壁。环116的下部有一肩部,该肩部支靠在环118的顶表面上。环116还包括一向下垂悬的凸缘,且该凸缘介入在环118的外壁与环110的内壁下部之间。环118有一支靠在金属管114的顶缘上的底表面,并具有邻靠电气绝缘的三氧化二铝套筒120的外壁的一内壁,套筒120通过多个螺钉125(仅示出了其中的一些)固定地附接至圆形铝板122的底部上。
石英环116的内壁邻近但稍稍与叠置的圆形铝板122和124的周缘间隔开,这些圆形铝板形成电极34并彼此电气地和机械地连接。电气连接件126机械地和电气地连接至板122的底表面,并且连接至将电源60的2MHz的输出供应到连接件的一导线。在板124的下表面中的凹腔128包括用于从板124的顶表面升举工件36的升降销130。板124的上表面还包括一凹腔(未示出),诸如氦之类的一致冷剂流入其中,以帮助控制加工过程中的工件36的温度。板122包括多个凹槽(未示出),一致冷剂液体穿过其流动以帮助控制工件的温度。
将加电电极34的金属板124与接地的碳化硅环29电气绝缘的绝缘环32包括石英环132,一相对较薄的石英环134粘合至该石英环132的顶表面。石英环132配合在板124的竖向和水平向外表面与石英环116的上侧壁部之间的一环形空间中。环132粘合至板124的竖向和水平向外表面,并稍稍从石英环116的上侧壁部间隔开。环134的上表面延伸入腔室38,在碳化硅环29与电极34的板124的对齐的两顶表面的稍上方,以帮助提供环29与电极34之间的电气绝缘。
应予理解的是,在图2中所示的加工装置腔室包括常规的垫圈和其它的必需的、密封腔室内部以获得工件加工所需真空的零件。由于各种零件的热膨胀系数不同,以及由于与在没有进行工作的间歇中的腔室温度相比,工件加工过程中在腔室中会发生升温,所以这些零件之间设置有一定的间距。
现在请参见诸图中的图3,该图是图1中所示加工装置以及图2中所示腔室的一电气示意的等效电路图。图1所示的加工装置可以被看作为一个双频的三极真空管,这是因为,激发区域38包括一接地电极和两个由不同的射频频率加电的电极。
因为在区域38中的被激发出的等离子体可以被看作一相对较低的电阻,等离子体在图3被示作接线端140。电路50包括高频射频电源54、匹配网络56以及分路带通滤波器58,带通滤波器58包括彼此串联地连接在匹配网络56的输出接线端与接地之间的电感器142和电容144。电感器142和电容144的值选择成它们可提供用于电源60所产生的2MHz的电流的一串联的谐振电路。电路52包括相对较低频率的射频电源60、匹配网络62以及串联的带通滤波器64,滤波器64包括彼此并联地连接在匹配网络62与电极34的输出接线端之间的电感器146和电容148。电感器146和电容148的值选择成它们为电源54所产生的27MHz的电流提供一并联的谐振电路。
上电极22可以被看作与电阻器152并联的电容150,而下电极34可以被看作电容154。电极22与等离子体140之间的等离子体护层由电阻器156、电容158及二极管160的并联组合来代表,它们接成线路以供从电电源54至等离子体140的正向电流通过,使等离子体处于比电极22的DC电压低的一DC电压之下。电极34与等离子体140之间的等离子体护层由电阻器162、电容164二极管166的并联组合来代表,它们接成线路以供从电源60至等离子体140的正向电流通过,使等离子体处于比电极34的DC电压低的一DC电压之下。等离子体140与接地的表面、其中包括环21、29、41-43及110之间的护层是由电阻器168、电容170及二极管172的并联组合来代表的,它们接成线路以供从等离子体140至接地表面(由接线端174代表)的负向电流通过,使等离子体处于比接地表面的DC电压高的一DC电压之下。
从图3中,来自电源54的高频电流穿过上电极22、由电阻器156、电容158及二极管160所代表的上护层流至等离子体140。由于带通滤波器64对高频电流的高阻抗作用,所以基本阻止高频电流流入电路52,并借助于包括电阻器168、电容174及二极管172的护层从等离子体140流至接地接线端174。如上文所述,腔室12的几何形状加强了从电源54至等离子体140、并从其到接地接线端174的该电流的流动。因此,可以通过精确控制射频电源54的功率来精确地控制激发区域38中的等离子体密度。
来自电源60的低频电流穿过下电极34,通过包括电阻器162、电容164及二极管166的下护层、经等离子体140和包括电阻器156、电容158及二极管160的上护层主要地流至电极22。由于带通滤波器58对低频电流的低阻抗作用,所以低频电流可容易地从等离子体140、穿过滤波器58而流至接地。如上文所述,腔室12的几何形状有助于阻止来自电源60的较大量的低频电流通过包括电阻器168、电容170及二极管172的接地护层流至接地接线端174。因此,可以通过精确地控制射频电源60的功率来精确地控制区域38中的等离子体中的离子能量。
通过提供对区域38中的等离子体密度和离子能量的精确控制,就可以提供和保持在等离子体中正确的离子和根基物质对中性物质的比值。因此,可以提供对在工件36上方的空间中离子、根基以及中性物质的驻留时间、以及轰击工件的离子能量的精确控制。由于这些因素,加工装置10可为各种诸如HARC、SAC及低-K之类的多种蚀刻工艺提供比现有技术加工装置更好的控制。
可将等离子体约束到相对较小体积的区域38中的腔室12的几何形状构造成,在区域38中的所有表面上形成一明显的偏压,如从图3中可见。明显的偏压借助于等离子体140和(1)电极22、(2)电极34以及(3)由接线端174所代表的区域38的接地表面之间的护层来形成。偏压和护层将防止等离子体入射在激发区域38中的表面上,以(1)在加工的过程中保持该表面清洁,因而明显地延长需要清洁腔室内部的情况出现之间的平均时间,并(2)减少这些表面被等离子体的损耗,以延长诸表面的使用寿命。
尽管已经描述和示出了本发明的一个特定的实施例,但很清楚的是,可以不背离如所附权利要求所定义的本发明真正原理和保护范围,而对所具体图示和描述的实施例的细节进行变化。例如,可以用一闭环控制装置来对区域38中的压力进行控制,该控制装置包括在该区域中的一压力传感器和响应一压力设定值和压力传感器、对百页窗41-43之间的间距进行控制的一驱动装置。

Claims (19)

1.一种用于加工工件的真空等离子体腔室,该腔室包括:一受约束的等离子体激发区域,它布置成使气体能流入该区域、使气体能在该区域中被激发成等离子体、使未离子化的气体能流出该区域、并且基本阻止等离子和离子化的气体从该区域流出,一围绕该区域且处于一参考电位的壁,以及在该区域下游供气体流出该区域的一出口;所述区域包括:用于与该区域中的气体电气耦合并连接至一相对较高频率的第一射频电源的一第一电极,用于承载工件、且与该腔室中的气体电气耦合并连接至一相对较低频率的第二射频电源的一第二电极,所述腔室的特征在于,设有整个地位于该区域中并连接至参考电位的一第三电极。
2.如权利要求1所述的腔室,其特征在于,围绕该区域的壁包括处于一参考电位的一腔室壁,受约束等离子体激发区域的一约束结构将激发区域和腔室壁彼此基本隔绝。
3.如权利要求2所述的腔室,其特征在于,约束结构包括对区域中的气体压力产生影响的一结构。
4.如权利要求2或3所述的腔室,其特征在于,约束结构包括用于基本将等离子体限制在所述区域的一百页窗结构。
5.如权利要求4所述的腔室,其特征在于,百页窗结构的百页窗具有高导电性,并处于参考电位。
6.如权利要求4所述的腔室,其特征在于,百页窗结构的百页窗具有低导电性且电气地浮动,并布置成机械地约束等离子体。
7.如权利要求6所述的腔室,其特征在于,相邻成对百页窗之间的间距设置成能提供机械的约束。
8.如权利要求1所述的腔室,其特征在于,所述区域由基本将等离子体限制在所述区域的一百页窗结构来界定,并且所述区域包括整个地位于所述区域中并连接至参考电位的一第四电极,第三电极位于第一电极与百页窗结构之间,第四电极位于第二电极与百页窗结构之间。
9.如权利要求8所述的腔室,其特征在于,第三和第四电极的面积之和约为第一和第二电极的面积之和的两倍。
10.如权利要求8所述的腔室,其特征在于,激发区域的几何形状使激发区域中的等离子体与用于承载工件的第二电极、第一电极及处于参考电位的第三和第四电极中的每一个之间形成不同的护层。
11.如权利要求8或10所述的腔室,其特征在于,激发区域的几何形状使低频率的电流趋向于更大程度地在用于承载工件的第二电极和第一电极之间流动、而非从用于承载工件的第二电极流至处于参考电位的激发区域的第三和第四电极。
12.如权利要求11所述的腔室,其特征在于,激发区域的几何形状使高频率的电流趋向于更大程度地在第一电极至处于参考电位的激发区域的第三电极和第四电极之间流动、而非从第一电极流至用于承载工件的第二电极。
13.如权利要求8或10所述的腔室,其特征在于,激发区域的几何形状使高频率的电流趋向于更大程度地在第一电极至处于参考电位的激发区域的第三电极和第四电极之间流动、而非从第一电极流至用于承载工件的第二电极。
14.一种真空等离子体加工装置,该加工装置包括:一用于加工工件的真空等离子体腔室,一相对较高频率的第一射频电源,一相对较低频率的第二射频电源,所述腔室包括:一受约束的等离子体激发区域,它布置成使气体能流入该区域、使气体能在该区域中被激发成等离子体、使未离子化的气体能流出该区域、并且基本阻止等离子和离子化的气体从该区域流出,一围绕该区域且处于一参考电位的壁,以及在该区域下游供气体流出该区域的一出口;所述区域包括:用于与该区域中的气体电气耦合并连接至所述相对较高频率的第一射频电源的一第一电极,用于承载工件、且与该腔室中的气体电气耦合并连接至所述相对较低频率的第二射频电源的一第二电极,其特征在于,所述腔室设有整个地位于该区域中并连接至参考电位的一第三电极。
15.如权利要求14所述的加工装置,其特征在于,所述区域由基本将等离子体限制在所述区域的一百页窗结构来界定,并且所述区域包括整个地位于所述区域中并连接至参考电位的一第四电极,第三电极位于第一电极与百页窗结构之间。
16.如权利要求15所述的加工装置,其特征在于,第四电极位于第二电极与百页窗结构之间。
17.如权利要求14或16所述的加工装置,其特征在于,还包括一滤波器结构,该滤波器结构与第一和第二射频电源以及第一和第二电极相连,用以使来自第一射频电源的电流能流至第一电极,基本阻止来自第一射频电源的电流流至第二电极和第二射频电源,使来自第二射频电源的电流能流至第一和第二电极,以及基本阻止来自第二射频电源的电流流至第一射频电源。
18.如权利要求16所述的加工装置,其特征在于,激发区域的几何形状使低频率的电流趋向于更大程度地在用于承载工件的第二电极和第一电极之间流动、而非从用于承载工件的第二电极流至处于参考电位的激发区域的第三和第四电极。
19.如权利要求18所述的加工装置,其特征在于,激发区域的几何形状使高频率的电流趋向于更大程度地在第一电极至处于参考电位的激发区域的第三电极和第四电极之间流动、而非从第一电极流至用于承载工件的第二电极。
CNB028155297A 2001-08-08 2002-08-08 用于加工工件的真空等离子体腔室及采用其的加工装置 Expired - Fee Related CN100401451C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US31105001P 2001-08-08 2001-08-08
US60/311,050 2001-08-08
US10/032,279 2001-12-31
US10/032,279 US6984288B2 (en) 2001-08-08 2001-12-31 Plasma processor in plasma confinement region within a vacuum chamber

Publications (2)

Publication Number Publication Date
CN1539156A CN1539156A (zh) 2004-10-20
CN100401451C true CN100401451C (zh) 2008-07-09

Family

ID=26708215

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028155297A Expired - Fee Related CN100401451C (zh) 2001-08-08 2002-08-08 用于加工工件的真空等离子体腔室及采用其的加工装置

Country Status (8)

Country Link
US (1) US6984288B2 (zh)
EP (1) EP1415322B1 (zh)
KR (1) KR100936647B1 (zh)
CN (1) CN100401451C (zh)
AT (1) ATE368936T1 (zh)
DE (1) DE60221535T2 (zh)
TW (1) TWI272041B (zh)
WO (1) WO2003015123A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9548186B2 (en) 2008-12-19 2017-01-17 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7326872B2 (en) 2004-04-28 2008-02-05 Applied Materials, Inc. Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
TWI574318B (zh) * 2004-06-21 2017-03-11 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
BRPI0608235A2 (pt) * 2005-03-07 2009-11-24 Mounir Laroussi gerador de plasma
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
IES20050301A2 (en) 2005-05-11 2006-11-15 Univ Dublin City Plasma source
US7342361B2 (en) 2005-05-11 2008-03-11 Dublin City University Plasma source
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7780814B2 (en) * 2005-07-08 2010-08-24 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US20080162587A1 (en) * 2006-12-29 2008-07-03 Ulrich Auer Server synchronization for maintenance activities
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
CN101809717B (zh) 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
CN101419904B (zh) * 2007-10-22 2012-04-25 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及等离子体处理装置
CN101441983B (zh) * 2007-11-21 2011-01-12 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及应用该约束装置的半导体处理设备
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7999239B2 (en) * 2007-12-10 2011-08-16 Varian Semiconductor Equipment Associates, Inc. Techniques for reducing an electrical stress in an acceleration/deceleraion system
KR100906701B1 (ko) * 2007-12-20 2009-07-07 주식회사 디엠에스 기판에 식각 영역을 만들기 위한 장치
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP5264231B2 (ja) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
JP4792060B2 (ja) * 2008-05-22 2011-10-12 キヤノンアネルバ株式会社 マグネトロンスパッタリング装置及び薄膜の製造法
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US8518094B2 (en) * 2010-03-02 2013-08-27 Bwt Property, Inc. Precisely guided phototherapy apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5835985B2 (ja) * 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102469675A (zh) * 2010-11-05 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 功率匹配装置和半导体设备
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104289382B (zh) * 2014-07-10 2019-04-05 华东师范大学 利用旋吸法在微通道板侧壁沉积薄膜的方法及其专用装置
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105228330B (zh) * 2015-09-01 2018-09-14 沈阳拓荆科技有限公司 一种射频等离子体设备匹配器
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
CN112863983B (zh) * 2019-11-28 2023-09-29 中微半导体设备(上海)股份有限公司 用于等离子体处理设备的下电极组件和等离子体处理设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399254A (en) * 1990-12-14 1995-03-21 Leybold Ag Apparatus for plasma treatment
US5464499A (en) * 1992-06-24 1995-11-07 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH07297175A (ja) * 1994-04-20 1995-11-10 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
US5660694A (en) * 1993-02-24 1997-08-26 Tadahiro Ohmi Film forming method
CN1227668A (zh) * 1996-07-03 1999-09-01 泰格尔公司 腐蚀半导体晶片的方法和装置
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399254A (en) * 1990-12-14 1995-03-21 Leybold Ag Apparatus for plasma treatment
US5464499A (en) * 1992-06-24 1995-11-07 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5660694A (en) * 1993-02-24 1997-08-26 Tadahiro Ohmi Film forming method
JPH07297175A (ja) * 1994-04-20 1995-11-10 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
CN1227668A (zh) * 1996-07-03 1999-09-01 泰格尔公司 腐蚀半导体晶片的方法和装置
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9548186B2 (en) 2008-12-19 2017-01-17 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber

Also Published As

Publication number Publication date
EP1415322B1 (en) 2007-08-01
CN1539156A (zh) 2004-10-20
DE60221535D1 (de) 2007-09-13
DE60221535T2 (de) 2008-04-17
EP1415322A2 (en) 2004-05-06
KR100936647B1 (ko) 2010-01-14
WO2003015123A2 (en) 2003-02-20
TWI272041B (en) 2007-01-21
US6984288B2 (en) 2006-01-10
US20030029567A1 (en) 2003-02-13
WO2003015123A3 (en) 2003-09-25
ATE368936T1 (de) 2007-08-15
WO2003015123B1 (en) 2003-11-20
KR20040038990A (ko) 2004-05-08

Similar Documents

Publication Publication Date Title
CN100401451C (zh) 用于加工工件的真空等离子体腔室及采用其的加工装置
JP4460288B2 (ja) 基板処理装置及び電力分配方法
KR100903535B1 (ko) 아킹 억제된 플라즈마에 튜닝되는 오버헤드 rf 전극을갖는 플라즈마 반응기
KR100700763B1 (ko) 정합기 및 플라즈마처리장치
JP4589722B2 (ja) 複数の周波数に同時に応答する電極を備えたプラズマ処理装置
TWI390584B (zh) 真空電漿處理器
US7196283B2 (en) Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
KR100557273B1 (ko) 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
US9530619B2 (en) Plasma processing apparatus and filter unit
US7105102B2 (en) Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US9451686B2 (en) Hybrid plasma reactor
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR101475502B1 (ko) 다중방전관을 갖는 플라즈마 반응기
KR100805558B1 (ko) 마그네틱 코어에 결합된 다중 방전 튜브를 구비한 유도 결합 플라즈마 소스

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080709

Termination date: 20180808