CN101809717B - 用于等离子处理设备的喷头电极总成的温度控制模块 - Google Patents

用于等离子处理设备的喷头电极总成的温度控制模块 Download PDF

Info

Publication number
CN101809717B
CN101809717B CN2008801093025A CN200880109302A CN101809717B CN 101809717 B CN101809717 B CN 101809717B CN 2008801093025 A CN2008801093025 A CN 2008801093025A CN 200880109302 A CN200880109302 A CN 200880109302A CN 101809717 B CN101809717 B CN 101809717B
Authority
CN
China
Prior art keywords
coldplate
heater plates
temperature
ring
top electrodes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008801093025A
Other languages
English (en)
Other versions
CN101809717A (zh
Inventor
拉金德尔·德辛德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101809717A publication Critical patent/CN101809717A/zh
Application granted granted Critical
Publication of CN101809717B publication Critical patent/CN101809717B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • H05B3/03Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于半导体材料等离子处理室的喷头电极总成的温度控制模块包括:加热器板,适于固定于该喷头电极总成的顶部电极的顶面,并且提供热量至该顶部电极以控制该顶部电极的温度;冷却板,适于固定于该喷头电极总成的顶板的一个表面并与之隔热,以及冷却该加热器板并控制该顶部电极和加热器板之间的热传导;以及至少一个热力壅塞,适于控制该加热器板和该冷却板之间的热传导。

Description

用于等离子处理设备的喷头电极总成的温度控制模块
背景技术
在半导体材料处理领域,使用包括真空处理室的半导体材料处理设备执行各种等离子工艺,如在基片上蚀刻材料。这些蚀刻工艺的效果往往依赖于控制处理室某些位置温度条件的能力。
发明内容
用于半导体材料等离子处理室的喷头电极总成的温度控制模块的示范性实施例包括:加热器板,其具有适于固定于该喷头电极总成的顶部电极的顶面的底面,该顶部电极具有暴露于等离子的底面,该加热器板包括至少一个加热器,其适于提供热量至该顶部电极以控制该顶部电极的温度;冷却板,其具有适于固定于形成该等离子处理室顶壁的顶板的底面并与之绝热的顶面,该冷却板适于控制该加热器板的温度并控制该加热器板和该顶部电极之间的热传导;以及至少一个导电导热热力壅塞,位于该加热器板的顶面和该冷却板的底面之间并与它们接触,该至少一个热力壅塞适于控制该加热器板和该冷却板之间的热传导。
用于等离子处理室的喷头电极总成的示范性实施例包括形成该等离子处理室顶壁的顶板;顶部电极,包括顶面和暴露于等离子的底面;以及温度控制模块,包括:加热器板,其具有固定于该顶部电极的顶面的底面,该加热器板包括至少一个加热器,其适于提供热量至该顶部电极以控制该顶部电极的温度;冷却板,其具有固定于顶板的底面并与之隔热的顶面,该冷却板适于控制该加热器板的温度和控制该加热器板和该顶部电极之间的热传导;以及至少一个导电导热热力壅塞,位于该加热器板的顶面和该冷却板的底面之间并与它们接触,该至少一个热力壅塞适于控制该加热器板和该冷却板之间的热传导。
提供一个控制在包含具有底部电极的基片支撑件的等离子处理室中喷头电极总成的顶部电极的温度的方法的示范性实施例,该喷头电极总成包括形成该等离子处理室顶壁的顶板和位于并固定在该顶板和该顶部电极之间的温度控制模块。该方法包括:在该等离子处理室中、该顶部电极和该基片支撑件之间的间隙中生成等离子;从至少一个功率供应源施加功率至该温度控制模块的加热器板的至少一个加热器以加热该顶部电极;从至少一个液体源提供温度控制液体至该温度控制模块的冷却板的液体通道以控制该冷却板的温度;以及(i)通过将该冷却板与该顶板热隔绝控制该冷却板和该顶板之间的热传导,(ii)利用位于该冷却板和加热器板之间的至少一个热力壅塞控制该冷却板和该加热器板之间的热传导,和(iii)通过控制该加热器板的温度来控制该加热器板和该顶部电极之间的热传导,由此将该顶部电极保持在所需温度。
附图说明
图1是半导体材料处理设备的等离子处理室的剖视图,其包括含有温度控制模块的喷头电极总成的示范性实施例。
图2是半导体材料处理设备的等离子处理室的剖视图,其包括另一含有温度控制模块的喷头电极总成的示范性实施例。
图3说明图2所示的温度控制模块的热力壅塞的示范性实施例。
图4说明图2所示的温度控制模块的另一热力壅塞的示范性实施例。
图5是半导体材料处理设备的等离子处理室的剖视图,其包括另一含有温度控制模块的喷头电极总成的示范性实施例。
图6是加热器板包括外加热器板和内加热器板的另一实施例的剖视图。
图7说明温度控制模块的示范性实施例的温度与时间的关系(上升和下降率)。
具体实施方式
提供温度控制模块和包括该温度控制模块的实施例的喷头电极总成。该温度控制模块提供集成的加热和冷却模块,该模块允许所需的对该喷头电极总成的喷头电极温度的控制。该温度控制模块可与该喷头电极总成的所选部分隔热,并具有所需的快速响应时间,以允许可靠并敏感的温度控制。
图1说明电容耦合、射频(RF)等离子处理室100,在其中处理半导体基片,例如硅晶片。该等离子处理室100包括喷头电极总成110的示范性实施例和位于该喷头电极总成110下方的基片支撑件112(局部),该喷头电极总成110和该基片支撑件112之间有间隙,在那里生成等离子。该喷头电极总成110包括顶部电极114、可选的固定于该顶部电极114的衬板构件116、顶板118和位于该衬板构件116和顶板118之间的温度控制模块120。限制环总成122围绕该顶部电极114和基片支撑件112之间的间隙。
该顶板118可由铝等制成。可选地,该顶板118的温度通过将温度控制液体(例如,在设定温度和流率的水)流过形成在该顶板中的液体通道来控制。该顶板118形成该等离子处理室100的可去除顶壁。
该限制环总成122包括多个等离子限制环124,其垂直位置可通过运行一个或多个升降机构126调节以控制邻近的等离子限制环124之间的垂直间隙。例如,该限制环总成122可包括三个彼此间隔120°的升降机构126。该限制环124增强将等离子限制于该顶部电极114和该基片支撑件112的上表面128之间的间隙。可用于该等离子处理室100的示范性的限制环总成在例如共同拥有的美国专利No.6,019,060和6,984,288以及美国专利申请No.2006/0207502和2006/0283552中描述,其每个通过引用整体结合在这里。
该基片支撑件112包括底部电极和可选的静电卡紧电极(ESC),卡紧电极用以将经受等离子处理的基片以静电方式卡紧在该基片支撑件112的上表面128上。
在该实施例中,该顶部电极114包括内部电极构件130和围绕该内部电极构件130的外部电极构件132(或电极扩展部)。该内部电极构件130是圆柱形板,用以等离子处理圆形半导体基片。该内部电极构件130可由任何合适的材料组成,如单晶硅、多晶硅或碳化硅。该内部电极构件130包括多个气体通道133,通过该通道将工艺气体喷进该顶部电极114和基片支撑件112之间的间隙。等离子是通过提供RF功率至该顶部电极114和/或底部电极而在该间隙中生成。
该外部电极构件132构造为扩展该顶部电极114的直径用以在该等离子处理室100中等离子处理更大直径的基片。例如,该内部电极构件130直径可以是12英寸或13英寸,该外部电极构件132可以是具有一定径向宽度的环,其将该顶部电极114的直径扩展至大约15英寸至17英寸,甚至更大。
该外部电极构件132可以是连续的环(即,整体的环),如多晶硅环。或者,该外部电极构件132可包括多个环形段(例如,从两个到十个段)布置成环。这些环形段可由例如单晶硅、多晶硅或碳化硅组成。这些环形段优选粘合在一起。该外部电极构件132的相邻环形段优选地具有重叠的边缘,这些边缘利用粘合材料彼此粘合。该外部电极构件132和内部电极构件130可粘合在一起,如利用弹性材料。该弹性材料可以是任何合适的导热导电弹性材料,其可承受热应力并传递热能和电能。
如图1所示,该外部电极构件132的厚度大于该内部电极构件130或垂直偏移以形成内部台阶134,该台阶以一定角度从该内部电极构件130的暴露于等离子的底面136向外延伸。该角度优选地是钝角。还如图1所示,该外部电极构件132的内部边缘构造为与该内部电极构件130中形成的凹下的外部边缘138重叠和匹配。
在该实施例中,该顶部电极114的顶面沿平面分界面140固定于该衬板构件116的底面。该衬板构件116包括固定于该内部电极构件130的顶面的衬板142和围绕该衬板142并固定于该外部电极构件132的顶面的衬环144。盖环121设在该衬环144的周边外部表面上。在该实施例中,该衬板142的直径大于该内部电极构件130。该衬板142的周边部分146在径向从该内部电极构件130的边缘向外延伸并支撑在该衬环144中形成的凹面148上。
该内部电极构件130和外部电极构件132分别通过合适的粘合技术固定于该衬板142和衬环144。如图1所示,该内部电极构件130包括固定于该衬板142、外部电极构件132和衬环144的表面;该外部电极构件132包括固定于该内部电极构件130和衬环144的表面;该衬板142包括固定于该内部电极构件130和衬环144的表面;以及该衬环144包括固定于该衬板142、外部电极构件132和内部电极构件130的表面。例如,该内部电极构件130、外部电极构件132、衬板142和衬环144的表面可使用弹性粘合材料粘合,该材料在所附着的构件之间形成弹性结合处。该弹性材料可承受热应力,并在该顶部电极114和衬板构件116的粘合的构件之间传递热能和电能。结合该内部电极构件130、外部电极构件132、衬板142和衬环144的合适弹性粘合材料和技术在共同拥有美国专利No.6,073,577中公开,其通过引用整体结合在这里。
该衬板142和衬环144可由各种材料组成。形成该衬板142的合适材料包括例如铝(包括铝和铝合金,例如,6061A1)、石墨和碳化硅。铝衬板可具有裸铝外部表面(即,原生氧化物外部表面),或形成在该外部表面的全部或一部分上方的阳极氧化的外部表面。该衬环144可由例如石英组成。
在该实施例中,该温度控制模块120包括固定于该衬板142和衬环144的加热器板150、固定于该顶板118的冷却板152,以及位于该加热器板150和冷却板152之间并与它们固定的热力壅塞154。该冷却板152通过紧固件190A连接于该热力壅塞154和加热器板150,该紧固件可插入该冷却板152中的凹孔,并延伸通过该冷却板152、热力壅塞154和加热器板150中对齐的开口。该紧固件190A优选地包括具有锁紧垫圈和滑动垫圈的垫圈组,适于抵抗在该加热器板150热循环期间该紧固件190A由于该加热器板150的热膨胀以及轴向和径向移动导致的松动。
该衬板142包括径向隔开的气体分配集气室156、158、160、162。该中央集气室156由中央凹进部分和盖板170形成,以及该外部集气室158、160和162由该衬板142中的环形凹槽和盖板170形成。邻近的集气室对156、158;158、160;以及160、162通过各自的环形突出部166彼此分开。该盖板170可包括例如与该衬板142同样的材料。用于该中央集气室156的盖板170优选地具有蝶形,以及用于该外部集气室158、160和162的盖板170优选地具有环形环构造。该盖板170优选地粘合于该衬板142以防止气体从该集气室156、158、160和162泄漏。在一个实施例中,盖板170可焊接或钎焊于衬板142。
该集气室156、158、160、162每个与该衬板142中的多个气体通道135流体连通。工艺气体从气体供应源169经由该加热器板150中的气体通道164提供到该中央集气室156。气体经由与该气体供应源169流体连通的气体通道165、167和径向气体分配通道168以及该加热器板150中形成的轴向通道171分配到该外部集气室158、160、162。
该衬板142中的该气体通道135与该内部电极构件130中各自的气体通道133对齐以将工艺气体从该气体供应源169提供进该等离子处理室100。如所示,该衬板142中的该气体通道135直径可大于该内部电极构件130中的该气体通道133。例如,该气体通道135的直径可以是大约0.04英寸,该气体通道133直径可以是大约0.020英寸至大约0.025英寸。该衬环144包括与该加热器板150中的径向气体分配通道168以及与该外部电极构件132中的气体通道流体连通的气体通道147以将工艺气体供应进该室。
该温度控制模块120是集成的单元,适于当在该等离子处理室中生成等离子时(即,该等离子“ON”状态)以及当不生成等离子(即,该等离子“OFF”状态)时,调节和保持对该喷头电极总成110中的该顶部电极114的温度控制。该温度控制模块120适于将受控量的热量提供到该顶部电极114以及从该顶部电极114去除热量以将该顶部电极114保持在所需温度。该温度控制模块120提供对该顶部电极114暴露于等离子的底面136可靠和可重复的温度控制。例如,对于该电极,利用该温度控制模块120可以实现大约±30℃或者更少的中间至边缘最大温度梯度。通过更密切控制该顶部电极114的该底面136的温度以及纵贯该底面的径向温度梯度,可更好地控制在该底面136处的等离子化学物质。
该加热器板150适于利用通过该衬板构件116的热传导将热量提供至该顶部电极114。该加热器板150可以是金属的机械加工的零件或者铸件,如铝、铝合金等。该加热器板150可包括一个或多个加热器,其运行以在该加热器板150中提供所需要的加热能力。如图1所示,该加热器板150可在该加热器板150内(例如,嵌入的)包括径向隔开的内部加热元件172。这些加热元件172可以是环形的并且同心布置,如所示。例如,在图1中,该中间的环形加热元件由设在该集气室156上方的该加热元件172的两个截面描述,该最外面的环形加热元件由设在密封件186下方的两个最外面的加热元件172描述。图1示出六个环形加热元件。这些加热元件172可彼此对称布置。该加热元件172电气连接到单个功率供应源151,或至多个功率供应源,其提供功率至该加热元件172。例如,每个加热元件172可连接到单独的功率供应源,或两个或多个加热元件172一组连接到各自的功率供应源。该一个或多个功率供应源151可选地提供不同量的功率至单个加热元件172(或至该加热元件的组)从而允许可变控制对该加热器板150的不同区域或部分的加热。例如,在该喷头电极总成110运行期间,该加热器板150是可运行以提供已知量的热量至该顶部电极114以便将该内部电极构件130和外部电极构件132保持在或充分接近所需温度,例如,温度设定值。例如,凭借该温度控制模块120的运行,该顶部电极114可保持在温度设定值的大约±5℃或更少范围内。
该喷头电极总成110可包括由设在例如该衬板构件116上的一个或多个温度传感器组成的温度传感器装置。各个温度传感器可监测在该顶部电极114的各部分的温度并将这个温度信息提供到温度控制器153。该温度控制器153控制至少一个功率供应源151提供功率至该加热元件172以加热该顶部电极114。控制该至少一个功率供应源151以根据该顶部电极114的实际温度和所需温度而提供功率至该加热元件172。例如,在半导体基片等离子蚀刻之前,该加热器板150可当该等离子是OFF时激活以加热该顶部电极114。该加热器板150在该等离子是ON时优选地也根据需要激活,但是处于较低的功率水平,从而该顶部电极114可保持在所需温度。
在该温度控制模块120中,该冷却板152适于冷却该加热器板150,并控制该加热器板150与该内部电极构件130和外部电极构件132之间的热传导。出于下列原因,该冷却板152具有小的“热质量”。
物体可以加热或冷却的速率与该物体的热容(或“热质量”)C有关。该热质量等于该物体的材料的比热c与该物体的质量m的乘积,即,C=cm(方程1)。因而,物体的热质量可通过改变其质量而改变,例如,通过使得该物体更小和/或多孔而改变形成该物体的材料的量。并且,为了将物体的温度改变ΔT量而需要通过加热该物体增加到该物体的热量或者通过冷却该物体而从该物体去掉的热量的量q由q=mcΔT(方程2)给出。因此,随着物体的热质量减少,为了将物体的温度改变ΔT而需要增加到该物体或者从该物体去除的热量的量q也减少。
当该物体与热源实体接触从而热量通过传导从该热源传递到该物体时,当该物体的温度在其吸收热量时增加时,该热源和该物体的接触表面之间的温差将减少,其转而降低从该热源至该物体的热传递速率。因而,从该热源至该物体的热传递速率可通过减少该物体的热质量而更紧密地控制。
该冷却板152可在该温度控制模块120中提供动态温度控制能力,因为该冷却板152具有小的热质量(从而减少为了将该冷却板的温度改变ΔT量而需要增加到或者从该冷却板152去除的热量的量q),以及该冷却板152与该顶板118绝热。
该冷却板152由导热导电材料组成,如铝、铝合金等。该冷却板152可以是整块材料,如铸件。另一实施例中,该冷却板152可包括两个块,沿该块的相对的主面彼此粘合。该冷却板152优选地具有小的体积。如图1所示,该冷却板152直径接近该外部电极构件132的外径。例如,该冷却板152直径大约15英寸至17英寸。该冷却板152可具有小的厚度,例如仅大约1英寸至大约2英寸。
该冷却板152是温度控制的。如图1所示,该冷却板152包括液体通道174,温度控制流体从至少一个液体源175流过该通道以冷却该冷却板152。该液体通道174可以是形成在整块冷却板152中的内部通道。或者,该液体通道174可以是在多块冷却板152的单个块之间形成的通道。该流体可以是例如去离子水。该液体源175优选地提供较小体积的液体至该液体通道174以便快速冷却。该液体具有所需温度和流率以对该冷却板152提供所需的热传递能力。该温度控制液体可将该冷却板152保持在例如大约20℃至大约40℃的温度。该液体通道174还降低该冷却板152的质量,这还降低该冷却板152的热质量。该温度控制模块120中,该冷却板152的冷却能力优选地超过等离子在该顶部电极114上产生的加热效果,该等离子在该顶部电极114和基片支撑件之间的间隙中生成。这个冷却能力允许该温度控制模块120当该等离子是ON时最小化超过该顶部电极114的温度设定值的次数和幅度。
除了具有小的质量,该冷却板152优选地与该喷头电极总成110中的该顶板118隔热以降低该冷却板152和顶板118之间的热传导。该顶板118比该冷却板152具有大得多的热质量。在该实施例中,该冷却板152通过减少该顶板118与该冷却板152之间分界面176处的总的接触面积而与该顶板118隔热。例如,该分界面176处的接触面积与该冷却板152面向该顶板118的顶面的总的表面积的比大约20%至30%。在该实施例中,至少一个凹槽形成在该顶板118的底面中。例如,如图1所示,该至少一个凹槽可包括多个、径向隔开的、同心布置的凹槽180。这些凹槽180可具有环形构造。相邻的凹槽180由该顶板118的底面上的突出部182(其可以是环形突出部)隔开。该突出部182与该冷却板152的顶面热接触。该冷却板152和该顶板118之间的热传导主要发生在该环形突出部182。或者,可在该顶板118的底面中形成单个连续的凹槽(例如,具有同心的部分)。该冷却板152与该顶板118的隔热使得热传导主要在该加热器板150和小的冷却板152之间,而不是在该加热器板150和该顶板118之间,该顶板的热质量比该冷却板152大得多。
在该实施例中,该热力壅塞154位于该加热器板150和冷却板152之间以控制在这些板之间的热传导。该热力壅塞154对从该加热器板150至该冷却板152的热流提供“热阻”以允许对从该加热器板150至该冷却板152的热传导速率的增强控制。术语“热阻”的含义在下面描述。该热力壅塞154还优选地足够灵活以补偿该加热器板150由于在该喷头电极总成110的运行期间的热循环而导致的径向和轴向膨胀。
对于一维、稳态热传递条件,纵贯该材料的热传递速率q由方程q=kA(T1-T2)/L(方程3)给出,其中k是该材料的导热系数,A是该材料在垂直于热传递方向的截面积;T1是该材料一面的温度和T2是该材料相对的一面的温度(ΔT=T1-T2,其中ΔT可以为正或负);以及L是该材料沿该热传递发生方向的长度。方程3可以重写为:q=ΔT/(L/kA)(方程4)。方程4中,该项L/kA当作该材料的“热阻”。方程4示出在给定的ΔT值,该材料热阻增加降低沿发生热传递的材料长度方向的热传递速率q。热阻可通过增加L、减少k和/或减少A来增加。
在该实施例中,该热力壅塞154是板,具有平的、固定于加热器板150和冷却板152的相对的面。这些构件可通过例如弹性粘合、钎焊、焊接或紧固件来固定。如图1所示,密封件186(如O形环)可设在该顶板118和冷却板152之间、冷却板152和热力壅塞154之间以及热力壅塞154和加热器板150之间以提供真空密封。
该热力壅塞154可由例如与该加热器板150和冷却板152相同的材料组成。例如,该热力壅塞154可由阳极氧化处理或者非阳极氧化处理的铝或铝合金(例如,6061-T6或7075-T6铝)制成。该热力壅塞154还可由具有所需的导热系数和结构特性的其他金属材料,非金属材料或复合材料制成。该热力壅塞154的结构有效地在该加热器板150和冷却板152之间提供期望的热阻。例如,该热力壅塞154可具有蜂窝体、穿孔的板、波纹形板或其他合适的多孔构造以提供所需的热阻。这些示范性的结构增加上面方程4中的“L”和/或减少“A”,这增加了该热力壅塞154的热阻。
另一实施例中,该热力壅塞154可以是层压结构,包括例如多个铝层和至少一个金属或非金属导热导电材料(例如,聚合物材料或不锈钢)的中间层,中间层材料具有比该铝层低的“k”值(见方程4)以增加该热力壅塞的热阻。该热力壅塞154总的厚度例如大约0.25英寸至大约1英寸。
通过该温度控制模块120的运行,该顶部电极114可在连续的基片处理运行过程中和之间保持在所需的温度,从而可更一致地处理多个基片,由此提高工艺成品率。在一个示范性实施例中,该温度控制模块120可将该顶部电极114保持在大约40℃至大约200℃范围内的温度设定值,如至少大约100℃、至少大约150℃或至少大约180℃。该顶部电极114的所需温度取决于该等离子处理室110中运行的具体等离子工艺。例如,介电材料蚀刻工艺采用将高功率水平施加于该顶部电极114和/或底部电极并产生对应的高顶部电极114温度。
与该加热器板150与该顶板118的直接热接触相比,该小质量的冷却板152与该热力壅塞154的热阻以及该冷却板152与该顶板118的隔热的组合允许更紧密和更快速地控制该加热器板150和该冷却板152之间的热传递速率。通过提高对该加热器板150和该冷却板152之间热传导的控制,该加热器板150可更紧密地控制该顶部电极114的温度。该温度控制模块120还提供控制该顶部电极114温度所期望的快速响应时间。该响应时间是当该加热器板150分别打开和关闭时,该控制模块120加热期间上升以及冷却期间下降的速率。
图2说明包括另一喷头电极总成210示范性实施例的等离子处理室200。如图2所示,该喷头电极总成210包括顶部电极214、固定于该顶部电极214的衬板构件216、顶板218和位于该衬板构件216和顶板218之间的温度控制模块220。等离子限制环总成222在该等离子处理室200中围绕该顶部电极214。基片支撑件212(局部可见)设在该顶部电极214下方。如下面所述,该顶部电极214和温度控制模块220具有不同于图1所示的该顶部电极114和温度控制模块120的结构特征。
在图2所示实施例中,该顶部电极214包括内部电极构件230和围绕该内部电极构件230的外部电极构件232。该内部电极构件230是整块材料,包括台阶231,该台阶厚度增大并且从该内部电极构件230的薄内部的底面236优选地以钝角向外扩展。该内部电极构件230包括多个气体通道233,工艺气体通过该通道喷射进该顶部电极214和基片支撑件212之间的间距(间隙)。该外部电极构件232扩展该顶部电极214的直径,并可以是连续的环或包括多个环形段。如图2所示,该外部电极构件232和内部电极构件230包括将突出部215与台阶231上较低的突出部配对,与外部电极232上的上部突出部重叠并且优选地互锁。
在该实施例中,该衬板242沿分界面240固定于该内部电极构件230的顶面,该衬环244固定于该外部电极构件232的顶面。如所示,该衬板242的直径与该内部电极构件230近似相同。该内部电极构件230和外部电极构件232通过适当的粘合技术分别固定于该衬板242和衬环244。如图2所示,该内部电极构件230包括固定于该衬板242、外部电极构件232和衬环244的表面;该外部电极构件232包括固定于该内部电极构件230和衬环244的表面;该衬板242包括固定于该内部电极构件230和衬环244的表面;以及该衬环244包括固定于该衬板242、外部电极构件232和内部电极构件230的表面。例如,这些表面可使用导热导电弹性粘合材料粘合在一起。
该温度控制模块220包括连接到该衬板242和衬环244的加热器板250,以及连接到该加热器板250和顶板218的冷却板252。盖环221设在该加热器板250和该衬环244的径向外表面上。
该衬板242包括多个气体分配集气室256、258、260、262,其每个与该衬板242中的多个气体通道235流体连通。该中央集气室256由中央凹进部分和盖板270形成,该集气室258、260、262由环形凹槽和多个盖板270形成。这些盖板270优选地粘合于该衬板242。在一个实施例中,盖板270可焊接或钎焊于衬板242。工艺气体经由气体通道264提供至该中央集气室256。邻近的集气室对256、258;258、260;260、262由该衬板242上的环形突出部266分开。气体经由该加热器板250中的气体通道265、267和径向气体分配通道268和轴向通道271提供到该外部集气室258、260、262。
该衬板242中的气体通道235与该内部电极构件230中的各气体通道233对齐以将气体提供进该等离子处理室200。该衬环244包括集气室245,其与该加热器板250中的气体分配通道268、该衬环244中的气体通道247以及该外部电极构件232中的气体通道249流体连通。气体经由该气体通道249提供进该室。
该加热器板250包括加热元件272,适于以可控的方式通过该衬板构件216施加热量至该顶部电极214。该加热器板250可运行以将该内部电极构件230和外部电极构件232保持在所需的温度。该加热元件272电气连接到单个功率供应源251或多个功率供应源。温度传感器装置可设在该衬板构件216上以监测该顶部电极214的温度并将这个温度信息提供给温度控制器253。该温度控制器适于控制该至少一个功率供应源251以向该加热器板250提供功率从而加热该内部电极构件230和外部电极构件232。该加热器板250可以与上面参照该加热器板150所描述的相同的方式运行。
如上所述,该冷却板252适于冷却该加热器板250和控制该加热器板250和顶部电极214之间的热传递。该冷却板252可提供对这个热传递速率的紧密控制。该冷却板252具有小质量并由导热导电材料制成。如图2所示,该冷却板252的直径可接近该内部电极构件230和外部电极构件232的直径。例如,该冷却板252的直径可以是大约15英寸至17英寸,厚度较小仅大约1英寸至大约2英寸。
该冷却板252包括液体通道274,具有所需的温度的温度控制液体从单个液体源275或从多个液体源进入该通道。该温度控制液体可将该冷却板252保持在大约20℃至大约40℃的温度,例如。该冷却板252的冷却能力优选地足以最小化等离子加热效应导致的该顶部电极214温度的过热。
该冷却板252通过该顶板218的底面中形成的至少一个凹槽280与该顶板218隔热。该一个或多个凹槽280由与该冷却板252的顶面热接触的突出部282(例如,环形突出部)隔开。该顶板218和冷却板252之间主要经由该环形突出部282传导热量。该顶板218和冷却板252之间在该突出部处的接触面积与该冷却板252面向该顶板218的顶面的总的表面积的比是例如大约20%至30%。
在这个实施例中,一个或多个热力壅塞设在该加热器板250和冷却板252之间以提供增强的对该加热器板250和冷却板252之间热传导速率的控制。如图2所示,多个热力壅塞254、255、257和259可设在该加热器板250和冷却板252之间。该热力壅塞254、255、257和259是设在该冷却板252的底面中形成的各凹槽中的同心布置的圆环状环。这些环可以是整体的、连续的环,或可包括两个或多个环形段。紧固件290A设在该顶板218;冷却板252;热力壅塞254、255、257和259;加热器板250和衬板242中对齐的开口中。该热力壅塞254、255、257和259可由与该加热器板250和冷却板252相同的材料组成,或这由其他具有合适的导热系数和结构特性的金属或非金属材料组成。例如,该热力壅塞254、255、257和259可由不锈钢组成,其导热系数比用于该加热器板250和/或冷却板252的铝低。
图3示出该热力壅塞257的示范性实施例。该热力壅塞254、255,其尺寸与该热力壅塞257不同,但可具有与该热力壅塞257相同的组成和结构。如图3所示,该热力壅塞257包括径向通孔261,用以减少热传导的截面积并因此增加该热力壅塞257的热阻。在另一实施例中,该热力壅塞257可以是多孔烧结的环,例如,通过粉末冶金制造的不锈钢环。该热力壅塞254、255还可以是多孔烧结的环。该多孔烧结的环可制造有所需的孔结构以提供所需的热阻。该热力壅塞257(和热力壅塞254、255)还包括周向隔开的、轴向延伸的开口263,用以容纳螺纹紧固件290A。
图4示出最外面的热力壅塞259的示范性实施例。如图2所示,该热力壅塞259形成该冷却板252的径向外表面的一部分。该热力壅塞259优选地是无孔的(即,密度等于形成该热力壅塞的材料的理论密度)。多个向内延伸的突出部包括周向隔开的、轴向延伸的开口263,用以容纳螺纹紧固件290A。
如图2所示,该热力壅塞254、255、257和259每个的高度(即,在轴向)大于该冷却板252中形成的各凹槽的高度,从而该冷却板252支撑在该热力壅塞254、255、257和259上,以及在冷却板252的底面和该加热器板250的顶面之间形成轴向间隙271。该间隙271消除了该加热器板250和冷却板252之间的直接物理接触,并迫使热传导通过该热力壅塞254、255、257和259发生在该加热器板250和冷却板252之间。
该热力壅塞254、255、257和259的示范性的高度为大约0.25英寸至大约0.75英寸,如大约0.5英寸,以及示范性的宽度为大约0.5英寸至大约1英寸,如大约0.75英寸。该热力壅塞254的外径可以是大约2英寸至大约4英寸,该热力壅塞255的外径可以是大约6英寸至大约8英寸,该热力壅塞257的外径可以是大约10英寸至大约12英寸,以及该热力壅塞259的外径可以是大约15英寸至大约17英寸,例如。如所示,密封件286(如O形环)设在该冷却板252和该顶板218之间、该冷却板252和该热力壅塞259之间以及该热力壅塞259和该加热器板250之间以形成真空密封。
在该实施例中,该冷却板252利用螺纹紧固件290A紧固于该加热器板250。各该紧固件290A优选地包括带有锁紧垫圈和滑动垫圈的垫圈组273以抵抗温度循环和该加热器板250热膨胀和移动导致的该紧固件290A松动。
因而,在这个实施例中,相比该加热器板250直接与该顶板218接触,该冷却板252的小质量,与该热力壅塞254、255、257和259提供的热阻以及该冷却板252和顶板218的隔热结合,允许提高对该加热器板250和该冷却板252之间热传递速率的控制。该温度控制模块220允许更紧密地控制该顶部电极214的温度。另外,该集成的温度控制模块220提供控制该顶部电极214温度所需的快速响应时间。
图5说明半导体材料等离子处理设备的等离子处理室300,该设备包括喷头电极总成310的另一示范性实施例。如图5所示,该喷头电极总成310包括顶部电极314、固定于该顶部电极314的衬板构件316、顶板318和该衬板构件316和顶板318之间的温度控制模块320。限制环总成322围绕该等离子处理室300内的顶部电极314。基片支撑件312(示出局部)包括底部电极以及可选的静电卡紧电极,设在该顶部电极314下方。
所说明的喷头电极总成310包括衬板342和衬环344。该衬板342包括集气室356、358、360和362。该喷头电极总成310与该喷头电极总成210有相同的结构,除了该衬板342的结构不同外。如图5所示,该集气室356、358、360和362的宽度在轴向朝向该顶部电极314增大。这些集气室宽度的扩大提供足够的空间用以在该衬板342和加热器板350之间设置密封件392(如O-形环)以防止气体从该集气室泄漏,以及在该衬板342的顶面和该加热器板350的底面之间提供足够的热接触面积。
在为了常规维护而将衬板342从顶部电极314拆卸过程中,图5的衬板342构造便于清洁集气室356、358、360和362的表面而不用去除在上面的盖板(例如,图1和2的盖板170/270)。
图6说明加热器板650的实施例,其包括外加热器板650A和内加热器板650B用以独立温度控制外部电极构件632和内部电极构件630的温度。外加热器板650A包括加热元件672A,内加热器板650B包括加热元件672B,其中加热元件672A和672B独立连接到统一或单独的功率供应源。该外加热器板650A可使用合适的紧固件固定于衬环644;以及该外部电极构件632可粘结于衬环644。该内加热器板650B可通过合适的紧固件固定于衬板642;以及该内部电极构件630粘合于衬板642。图6的实施例提供独立于内部电极构件630的对外部电极衬板构件632的温度控制。应当注意到图6的加热器板650可用于图1、2或5的任何实施例。
图7示出喷头电极总成的示范性实施例的温度与时间响应关系,该总成包括顶部电极,该顶部电极包括内部电极构件、外部电极构件、贴附于该内部和外部电极构件的衬板和衬环以及贴附于该衬板和衬环和顶板的温度控制模块。该温度控制模块包括该冷却板和加热器板之间的热力壅塞环。该热力壅塞环包括中间不锈钢环、最外面的不锈钢环以及该中间和最外面的环之间的铝环。该加热器功率是7kW,处于一定温度的冷却剂流过该冷却板,该加热器启动大约17分钟并关闭大约17分钟。该顶部电极的温度设定值是200℃。对于多个循环中该温度控制模块,加热期间(加热器启动时)的上升速率和冷却期间(加热器关闭时)的下降速率的响应由多个设在该顶部电极不同位置的热偶A到F来测量。
对于在该冷却板和加热器板之间包括一个或多个热力壅塞环的该温度控制模块的实施例的响应时间可通过优化该热力壅塞环的设计(构造和组成)来优化至所需的范围以控制该温度控制模块中这些板之间的热传导。在该温度控制模块包括一个热力壅塞板的其他实施例,可优化该热力壅塞板的构造和组成以控制该冷却板和加热器板之间的热传导。
尽管本发明参照其具体实施例详细描述,但是本领域技术人员显然可进行各种改变和修改,以及采用等同方式,而不背离所附权利要求的范围。

Claims (20)

1.一种用于半导体材料等离子处理室的喷头电极总成的温度控制模块,该温度控制模块包括:
加热器板,具有适于固定于该喷头电极总成的顶部电极的顶面的底面,该顶部电极具有暴露于等离子的底面,该加热器板包括至少一个加热器适于提供热量至该顶部电极以控制该顶部电极的温度;
冷却板,其具有顶面,该顶面适于固定于形成该等离子处理室顶壁的顶板的底面并与该底面隔热,该冷却板适于控制该加热器板的温度以及控制该加热器板和该顶部电极之间的热传导;以及
至少一个导电导热热力壅塞,位于该加热器板的顶面和该冷却板的底面之间并与它们接触,该至少一个热力壅塞适于控制该加热器板与该冷却板之间的热传导。
2.根据权利要求1所述的温度控制模块,其中:
该加热器板包括加热元件嵌入其中的整块的金属,以及气体分配通道,其适于与气体供应源和该喷头电极总成的衬板构件中的气体分配集气室流体连通,该加热元件适于连接到至少一个功率供应源,其运行以提供功率至该加热元件;以及
该冷却板包括其中形成液体通道的整块的金属,该液体通道适于与至少一个温度控制液体源流体连通,该温度控制液体提供到该液体通道以控制该冷却板的温度。
3.根据权利要求1所述的温度控制模块,其中:
该冷却板在该底面包括多个径向隔开的、同心布置的第一凹槽,各该第一凹槽具有第一高度;以及
该至少一个热力壅塞包括多个热力壅塞,各热力壅塞是设在该冷却板中各第一凹槽中的环,这些环相对彼此同心布置,各环具有第二高度,其大于该热力壅塞设在其中的各第一凹槽的第一高度,从而该些环在该加热器板上支撑该冷却板,该冷却板的底面和该加热器板的顶面之间形成间隙,以及在该加热器板和冷却板之间通过该环从传导热量。
4.根据权利要求3所述的温度控制模块,其中该多个环包括至少一个第一环和第二环,各第一环包括多个通孔,该第二环是非多孔的并围绕该至少一个第一环并形成该温度控制模块的外部表面。
5.根据权利要求3所述的温度控制模块,其中该多个环包括至少一个第一环和第二环,各第一环是烧结的多孔金属体,该第二环是非多孔的并围绕该至少一个第一环并形成该温度控制模块的外部表面。
6.根据权利要求1所述的温度控制模块,其中:
该冷却板的底面是平的;
该加热器板的顶面是平的;以及
该至少一个热力壅塞包括由柔性、导热导电材料组成的板,该热力壅塞板具有分别固定于该冷却板的底面和该加热器板的顶面的相对平面,该热力壅塞板具有多孔结构,其提供对该加热器板和该冷却板之间的热传导的热阻。
7.根据权利要求1所述的温度控制模块,其中:
该冷却板、至少一个热力壅塞和加热器板包括对齐的开口;以及
螺纹紧固件容纳在每个该对齐的开口中以将该冷却板、至少一个热力壅塞和加热器板彼此固定,各螺纹紧固件包括垫圈组,其适于抵抗由于该加热器板的热循环导致的该紧固件的松动。
8.一种等离子处理室的喷头电极总成,包括:
形成该等离子处理室顶壁的顶板;
顶部电极包括顶面和暴露于等离子的底面;以及
温度控制模块,包括:
加热器板,其具有固定于该顶部电极的顶面的底面,该加热器板包括至少一个适于提供热量至该顶部电极以控制该顶部电极的温度的加热器;
冷却板,其具有顶面,该顶面固定于顶板的底面并与该底面隔热,该冷却板适于控制该加热器板的温度和控制该加热器板和该顶部电极之间的热传导;以及
至少一个导电导热热力壅塞,位于该加热器板的顶面和该冷却板的底面之间并与之热接触,该至少一个热力壅塞适于控制该加热器板和该冷却板之间的热传导。
9.根据权利要求8所述的喷头电极总成,其中:
该加热器板包括与气体供应源流体连通的径向气体分配通道;以及
该顶部电极包括:
内部电极构件,其包括多个第一气体通道;
外部电极构件,其固定于并围绕该内部电极构件,该外部电极构件包括多个第二气体通道;
衬板,其固定于该内部电极构件的顶面,该衬板包括多个径向隔开的并与径向气体分配通道流体连通的集气室,第三气体通道与各自的第一气体通道流体连通;以及
衬环,其围绕该衬板,该衬环包括多个与该径向气体分配通道流体连通的第四气体通道。
10.根据权利要求9所述的喷头电极总成,其中:
该内部电极构件包括粘合于该衬板、外部电极构件和衬环的表面;
该外部电极构件包括粘合于该内部电极构件和衬环的表面;
该衬板包括粘合于该内部电极构件和衬环的表面;以及
该衬环包括粘合于该衬板,外部电极构件和内部电极构件的表面。
11.根据权利要求9所述的喷头电极总成,其中该外部电极构件包括从该内部电极构件的暴露于等离子的底面以钝角向外延伸的台阶。
12.根据权利要求9所述的喷头电极总成,其中该内部电极构件包括从该内部电极构件的暴露于等离子的底面以钝角向外延伸的台阶。
13.根据权利要求9所述的喷头电极总成,其中:
该加热器板包括具有加热元件嵌入其中的整块的金属,该加热元件连接到至少一个功率供应源,其运行以提供功率至该加热元件;以及
该冷却板包括其中形成液体通道的整块的金属,该液体通道与至少一个温度控制液体源流体连通,该温度控制液体提供到该液体通道以控制该冷却板的温度;或
该加热器板包括具有至少一个内部加热元件的内加热器板和具有至少一个外部加热元件的外加热器板,该内部和外部加热元件连接到独立的功率供应源,该供应源运行以提供功率至该内部和外部加热元件并控制该内部和外部电极构件的温度。
14.根据权利要求9所述的喷头电极总成,其中:
该冷却板包括底面和该底面中的多个径向隔开的、同心布置的第一凹槽,各该第一凹槽具有第一高度;以及
该至少一个热力壅塞包括多个热力壅塞,各热力壅塞是设在该冷却板中各第一凹槽中的环,各环具有第二高度,其大于该热力壅塞设在其中的各第一凹槽的第一高度,从而该环在该加热器板上支撑该冷却板,该冷却板的底面和该加热器板的顶面之间形成间隙,以及在该加热器板和冷却板之间通过该环传导热量。
15.根据权利要求14所述的喷头电极总成,其中该多个环包括至少一个第一环和第二环,各第一环具有多个通孔或是烧结的多孔金属体,和该第二环是非多孔的并围绕该至少一个第一环并形成该温度控制模块的外部表面。
16.根据权利要求8所述的喷头电极总成,其中:
该冷却板的底面是平的;
该加热器板的顶面是平的;以及
该至少一个热力壅塞包括由柔性、导热导电材料组成板,该板具有分别固定于该冷却板的底面和该加热器板的顶面的相对平面,该热力壅塞板具有多孔结构,其提供对该加热器板和该冷却板之间的热传导的热阻。
17.根据权利要求8所述的喷头电极总成,其中:
该冷却板,至少一个热力壅塞和加热器板包括对齐的开口;以及
螺纹紧固件容纳在每个该对齐的开口中以将该冷却板、至少一个热力壅塞和加热器板彼此固定,各螺纹紧固件包括垫圈组,其适于抵抗由于该加热器板的热循环导致的该紧固件的松动。
18.根据权利要求8所述的喷头电极总成,其中该顶板的底面包括径向隔开的、同心布置的第二凹槽,邻近的第二凹槽由该顶板的底面上的环形突出部隔开,该顶板在该环形突出部出与该冷却板的顶面接触。
19.控制在包含具有底部电极的基片支撑件的等离子处理室中喷头电极总成的顶部电极的温度的方法,该喷头电极总成包括形成该等离子处理室顶壁的顶板和位于并固定于该顶板和该顶部电极之间的温度控制模块,该方法包括:
在该等离子处理室中、该顶部电极和该基片支撑件的间隙中生成等离子;
从至少一个功率供应源施加功率至该温度控制模块的加热器板的至少一个加热器以加热该顶部电极;
从至少一个液体源提供温度控制液体至该温度控制模块的冷却板的液体通道以控制该冷却板的温度;以及
(i)通过将该冷却板与该顶板热隔绝控制该冷却板和该顶板之间的热传导,(ii)利用位于该冷却板和加热器板之间的至少一个热力壅塞控制该冷却板和该加热器板之间的热传导,和(iii)通过控制该加热器板的温度控制该加热器板和该顶部电极之间的热传导,由此将该顶部电极保持在所需温度。
20.根据权利要求19所述的方法,其中:
当生成等离子以及不生成等离子时,该温度控制模块将该顶部电极保持在40℃至200℃的设定值的±5℃内;以及
该温度控制模块将该顶部电极的最大径向中间-边缘温度梯度保持在±30℃。
CN2008801093025A 2007-09-25 2008-09-24 用于等离子处理设备的喷头电极总成的温度控制模块 Expired - Fee Related CN101809717B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US96033107P 2007-09-25 2007-09-25
US60/960,331 2007-09-25
PCT/US2008/011052 WO2009042137A2 (en) 2007-09-25 2008-09-24 Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
CN101809717A CN101809717A (zh) 2010-08-18
CN101809717B true CN101809717B (zh) 2012-10-10

Family

ID=40472135

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801093025A Expired - Fee Related CN101809717B (zh) 2007-09-25 2008-09-24 用于等离子处理设备的喷头电极总成的温度控制模块

Country Status (6)

Country Link
US (2) US8313610B2 (zh)
JP (1) JP5194125B2 (zh)
KR (1) KR101519684B1 (zh)
CN (1) CN101809717B (zh)
TW (1) TWI473538B (zh)
WO (1) WO2009042137A2 (zh)

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8529729B2 (en) * 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5712741B2 (ja) 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
TW202418889A (zh) 2011-10-05 2024-05-01 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
US8906248B2 (en) 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2015536043A (ja) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102452722B1 (ko) * 2015-08-27 2022-10-06 삼성전자주식회사 기판 처리 장치
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
KR20170073757A (ko) * 2015-12-18 2017-06-29 삼성전자주식회사 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
KR20180112794A (ko) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 전도성 층들이 매립된 세라믹 샤워헤드
JP6745643B2 (ja) * 2016-05-17 2020-08-26 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10571337B2 (en) 2017-05-26 2020-02-25 Applied Materials, Inc. Thermal cooling member with low temperature control
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6858656B2 (ja) * 2017-06-26 2021-04-14 東京エレクトロン株式会社 給電部材及び基板処理装置
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP6920245B2 (ja) * 2018-04-23 2021-08-18 東京エレクトロン株式会社 温度制御方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020147795A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
US10553403B1 (en) * 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
US20210238746A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
US11204206B2 (en) 2020-05-18 2021-12-21 Envertic Thermal Systems, Llc Thermal switch
CN113804046B (zh) * 2020-06-15 2023-10-13 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220093361A1 (en) 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
CN113136568B (zh) * 2021-04-07 2022-10-11 拓荆科技股份有限公司 一种节能型主动控温喷淋头
WO2022260042A1 (ja) * 2021-06-07 2022-12-15 京セラ株式会社 シャワープレート
US12074010B2 (en) * 2021-09-09 2024-08-27 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备
CN118553593A (zh) * 2024-07-24 2024-08-27 深圳市新凯来工业机器有限公司 一种上电极、等离子体刻蚀设备及温度控制方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1551302A (zh) * 2003-05-13 2004-12-01 ���������ƴ���ʽ���� 上部电极和等离子体处理装置
US7033444B1 (en) * 1999-06-21 2006-04-25 Tokyo Electron Limited Plasma processing apparatus, and electrode structure and table structure of processing apparatus
US20070137573A1 (en) * 2005-12-21 2007-06-21 Arnold Kholodenko Apparatus for an optimized plasma chamber grounded electrode assembly
CN101018884A (zh) * 2004-04-30 2007-08-15 兰姆研究公司 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3459925A (en) * 1965-10-21 1969-08-05 Atomic Energy Commission High speed temperature monitor
US4114442A (en) * 1976-09-03 1978-09-19 Avicon Development Group Temperature monitoring system
US4165642A (en) * 1978-03-22 1979-08-28 Lipp Robert J Monolithic CMOS digital temperature measurement circuit
JPS57148221A (en) * 1981-03-10 1982-09-13 Citizen Watch Co Ltd Temperature detecting device
US5319370A (en) * 1992-08-31 1994-06-07 Crystal Semiconductor, Inc. Analog-to-digital converter with a continuously calibrated voltage reference
US5345236A (en) * 1992-12-21 1994-09-06 Harris Corporation Improved sigma-delta type analog-to-digital converter and method
US5604467A (en) * 1993-02-11 1997-02-18 Benchmarg Microelectronics Temperature compensated current source operable to drive a current controlled oscillator
US5408235A (en) * 1994-03-07 1995-04-18 Intel Corporation Second order Sigma-Delta based analog to digital converter having superior analog components and having a programmable comb filter coupled to the digital signal processor
US5617090A (en) * 1995-05-10 1997-04-01 Harris Corporation Multi-channel sigma-delta A/D converters with improved throughput
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5867054A (en) * 1997-07-31 1999-02-02 National Semiconductor Corporation Current sensing circuit
US6242974B1 (en) * 1998-03-25 2001-06-05 Micrel,Inc Self-calibrating operational amplifier
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6243034B1 (en) * 1998-10-29 2001-06-05 National Instruments Corporation Integrating analog to digital converter with improved resolution
JP2002530763A (ja) * 1998-11-12 2002-09-17 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 絶対温度に比例する基準電流を供給する定電流発生器
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6905079B2 (en) * 2000-09-08 2005-06-14 Tokyo Electron Limited Shower head structure and cleaning method thereof
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6310571B1 (en) * 2001-03-30 2001-10-30 Pixim, Incorporated Multiplexed multi-channel bit serial analog-to-digital converter
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6714886B2 (en) * 2002-02-13 2004-03-30 Eric C. Sung System and method of DC calibration of amplifiers
US6590372B1 (en) * 2002-02-19 2003-07-08 Texas Advanced Optoelectronic Solutions, Inc. Method and integrated circuit for bandgap trimming
US7861667B2 (en) 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
FR2845767B1 (fr) * 2002-10-09 2005-12-09 St Microelectronics Sa Capteur numerique de temperature integre
US6869216B1 (en) * 2003-03-27 2005-03-22 National Semiconductor Corporation Digitizing temperature measurement system
US7049987B2 (en) * 2003-08-12 2006-05-23 Siemens Building Technologies Ag Arrangement for generating a clock signal for a sigma-delta analog-to-digital converter
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050279384A1 (en) 2004-06-17 2005-12-22 Guidotti Emmanuel P Method and processing system for controlling a chamber cleaning process
KR20060085358A (ko) * 2005-01-24 2006-07-27 삼성전자주식회사 막 형성 장치
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7033444B1 (en) * 1999-06-21 2006-04-25 Tokyo Electron Limited Plasma processing apparatus, and electrode structure and table structure of processing apparatus
CN1551302A (zh) * 2003-05-13 2004-12-01 ���������ƴ���ʽ���� 上部电极和等离子体处理装置
CN101018884A (zh) * 2004-04-30 2007-08-15 兰姆研究公司 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备
US20070137573A1 (en) * 2005-12-21 2007-06-21 Arnold Kholodenko Apparatus for an optimized plasma chamber grounded electrode assembly

Also Published As

Publication number Publication date
JP2010541239A (ja) 2010-12-24
WO2009042137A2 (en) 2009-04-02
KR101519684B1 (ko) 2015-05-12
JP5194125B2 (ja) 2013-05-08
TW200922388A (en) 2009-05-16
KR20100075957A (ko) 2010-07-05
US20090081878A1 (en) 2009-03-26
TWI473538B (zh) 2015-02-11
US20130126518A1 (en) 2013-05-23
WO2009042137A3 (en) 2009-06-04
US8313610B2 (en) 2012-11-20
CN101809717A (zh) 2010-08-18

Similar Documents

Publication Publication Date Title
CN101809717B (zh) 用于等离子处理设备的喷头电极总成的温度控制模块
CN109075059B (zh) 用于高功率等离子体蚀刻处理的气体分配板组件
TWI705520B (zh) 用於高溫製程之基板支撐組件
US8927907B2 (en) Thermally zoned substrate holder assembly
US9681497B2 (en) Multi zone heating and cooling ESC for plasma process chamber
JP6644051B2 (ja) 高温処理用静電チャックアセンブリ
CN100452306C (zh) 具有流体间隙的衬底保持器和制造衬底保持器的方法
CN100565787C (zh) 具有温度受控的表面的基片支架
KR101345904B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
US8194384B2 (en) High temperature electrostatic chuck and method of using
KR20070112188A (ko) 접합식 다층 rf 윈도우
CN101001975A (zh) 等离子体处理系统中最佳温度控制的方法和设备
KR20190043645A (ko) 넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리
US6508062B2 (en) Thermal exchanger for a wafer chuck
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
KR20150080918A (ko) 히터 어셈블리
CN112002668A (zh) 半导体工艺设备中的静电卡盘组件及半导体工艺设备
CN111383885B (zh) 一种能提高控温精度的基片安装台及等离子体处理设备
KR100666445B1 (ko) 유도결합형 플라즈마 처리장치의 유전체 덮개 온도유지장치
US20060243385A1 (en) Device for producing electroconductive passages in a semiconductor wafer by means of thermomigration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121010

Termination date: 20200924