TWI473538B - 電漿處理設備的噴淋頭電極組件、及其溫度控制模組與溫度控制方法 - Google Patents

電漿處理設備的噴淋頭電極組件、及其溫度控制模組與溫度控制方法 Download PDF

Info

Publication number
TWI473538B
TWI473538B TW97136827A TW97136827A TWI473538B TW I473538 B TWI473538 B TW I473538B TW 97136827 A TW97136827 A TW 97136827A TW 97136827 A TW97136827 A TW 97136827A TW I473538 B TWI473538 B TW I473538B
Authority
TW
Taiwan
Prior art keywords
plate
cooling plate
heating
heating plate
temperature
Prior art date
Application number
TW97136827A
Other languages
English (en)
Other versions
TW200922388A (en
Inventor
Rajinder Dhindsa
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200922388A publication Critical patent/TW200922388A/zh
Application granted granted Critical
Publication of TWI473538B publication Critical patent/TWI473538B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • H05B3/03Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿處理設備的噴淋頭電極組件、及其溫度控制模組與溫度控制 方法 【相關申請案之交互參照】
本申請案根據U.S.C. 119主張美國臨時申請案第60/960331號的優先權,該優先權案的標題為「TEMPERATURE CONTROL MODULES FOR SHOWERHEAD ELECTRODE ASSEMBLIES FOR PLASMA PROCESSING APPARATUSES」,並且申請於2007年9月25日,其整體內容藉由參考文獻方合併於此。
本發明係關於一種溫度控制模組,尤其係關於一種半導體材料電漿處理室之噴淋頭電極組件用的溫度控制模組。
在半導體材料處理領域中,包含真空處理室的半導體材料處理設備被用於執行各種電漿處理,例如位於基板上之材料的蝕刻。這些蝕刻處理的效能通常係取決於用以控制在處理室之特定位置上之溫度條件的能力。
半導體材料電漿處理室之噴淋頭電極組件用之溫度控制模組的一示範實施例包含:加熱板,具有下表面,此下表面固定於噴淋頭電極組件之上電極的上表面,上電極具有電漿曝露下表面,加熱板包含至少一加熱器,加熱器用以將熱供應至上電極,以控制上電極的溫度;冷卻板,具有上表面,此上表面固定於頂板的下表面並且與其熱隔絕,頂板用以形成電漿處理室的頂壁,冷卻板用以控制加熱板的溫度,並且控制加熱板與上電極之間的熱傳導;以及至少一導電與導熱性熱抗流器,位於加熱板的上表面與冷卻板的下表面之間,並且與加熱板的上表面以及冷卻板的下表面產生接觸,至少一熱抗流器用以控制加熱板與冷卻板之間的熱傳導。
電漿處理室用之噴淋頭電極組件的一示範實施例包含頂板,用以形成電漿處理室的頂壁;上電極,包含上表面以及電漿曝露下表面;以及溫度控制模組,包含:加熱板,具有下表面,此下表面固定於上電極的上表面,加熱板包含至少一加熱器,加熱器用以將熱供應至上電極,以控制上電極的溫度;冷卻板,具有上表面,此上表面固定於頂板的下表面並且與其熱隔絕,冷卻板用以控制加熱板的溫度,並且控制加熱板與冷卻板之間的熱傳導;以及至少一導電與導熱性熱抗流器,位於加熱板的上表面與冷卻板的下表面之間,並且與加熱板的上表面以及冷卻板的下表面產生熱接觸,至少一熱抗流器用以控制加熱板與冷卻板之間的熱傳導。
控制噴淋頭電極組件之上電極溫度之方法的一示範實施例,噴淋頭電極組件係位於包含基板載台的電漿處理室中,基板載台具有下電極,噴淋頭電極組件包含用以形成電漿處理室之頂壁的頂板,以及座落並固定在頂板與上電極之間的溫度控制模組,此方法包含:在電漿處理室中,於上電極與基板載台之間的間隙內產生電漿;從至少一電源將電力施加至溫度控制模組之加熱板的至少一加熱器,以對上電極進行加熱;從至少一液體源將溫度控制液體供應至溫度控制模組之冷卻板的液體通道,以控制冷卻板的溫度;以及(i)藉由冷卻板與頂板的隔熱來控制冷卻板與頂板之間的熱傳導、(ii)以位在冷卻板與加熱板之間的至少一熱抗流器來控制冷卻板與加熱板之間的熱傳導、以及(iii)藉由控制加熱板的溫度而控制加熱板與上電極之間的熱傳導,藉以將上電極維持在期望的溫度。
提供溫度控制模組以及包含溫度控制模組之實施例的噴淋頭電極組件。溫度控制模組可提供經整合的加熱與冷卻模組,此加熱與冷卻模組可對噴淋頭電極組件之噴淋頭電極的溫度進行期望的控制。溫度控制模組可與所選擇的噴淋頭電極組件部分熱隔絕,並且具有期望的快速響應時間,以進行可靠及響應的溫度控制。
圖1顯示電容耦合式無線射頻(RF,radio-frequency)電漿處理室100,於其中處理例如矽晶圓的半導體基板。電漿處理室100包含噴淋頭電極組件110的示範實施例、位於噴淋頭電極組件110下方的基板載台112(在局部視圖中)、以及位於噴淋頭電極組件110與基板載台112之間的間隙(於其中產生電漿)。噴淋頭電極組件110包含:上電極114;任意支撐構件116,固定於上電極114;頂板118;以及溫度控制模組120,位於支撐構件116與頂板118之間。約束環組件122圍繞位於上電極114與基板載台112之間的間隙。
頂板118可由鋁等等所製造。可選擇地,藉由使溫度控制液體(例如處於設定溫度與流率的水)流過形成於其中的液體流道而控制頂板118的溫度。頂板118能夠形成電漿處理室100的可移除式頂壁。
約束環組件122包含複數個電漿約束環124,藉由一個以上揚升機構126的操作來調整約束環的垂直位置,以控制各鄰接電漿約束環124之間的垂直間隙。例如,約束環組件122可包含三個彼此隔開120°的揚升機構126。約束環124可增強位於上電極114與基板載台112的上表面128之間的間隙對電漿的約束。可用於電漿處理室100的示範約束環組件被揭露於例如共同擁有之美國專利第6019060號以及第6984288號,以及美國專利申請案第2006/0207502號以及第2006/0283552號,上述每一專利案的內容皆藉由參考文獻方式合併於此。
基板載台112包含下電極以及任意靜電箝制(ESC,electrostatic clamping)電極,此靜電箝制電極用以靜電箝制位於基板載台112之上表面128上受到電漿處理的基板。
在本實施例中,上電極114包含內電極構件130以及圍繞內電極構件130的外電極構件132或電極延伸部。內電極構件130為用於圓形半導體基板之電漿處理的圓柱形板。內電極構件130可由例如單晶矽、多晶矽或碳化矽的任何適當材料所構成。內電極構件130包含多個氣體通路133,透過這些氣體通路,處理氣體被注入位於上電極114與基板載台112之間的間隙。藉由將RF功率供應至上電極114及/或下電極,以在間隙中產生電漿。
為了在電漿處理室100中對較大直徑的基板進行電漿處理,外電極構件132可用以擴充上電極114的直徑。例如,內電極構件130可具有12英吋或13英吋的直徑,而外電極構件132可為具有將上電極114之直徑延伸成約15英吋至17英吋或甚至更大之半徑寬度的環。
外電極構件132可為連續環(即一體式環),例如多晶矽環。或者,外電極構件132可包含用以排列形成一個環的多個環段,例如從兩至十段。環段可例如由單晶矽、多晶矽、或碳化矽所構成。環段較佳係接合在一起。外電極構件132的鄰接環段較佳係具有以接合材料互相接合的重疊邊緣。外電極構件132以及內電極構件130可以例如彈性材料接合在一起。此彈性材料可為任何適當的導熱與導電性彈性材料,其可適應熱應力並且傳遞熱能與電能。
如圖1所示,外電極構件132可具有大於內電極構件130的厚度,或者被垂直轉折(off-set),而形成從內電極構件130之電漿曝露下表面136以一角度向外延伸的內階梯134。此角度較佳係鈍角(obtuse angle)。同樣如圖1所示,外電極構件132的內緣被設置成與形成在內電極構件130內的下凹外緣138重疊及匹配。
在本實施例中,上電極114的上表面沿著平面界面140而固定在支撐構件116的下表面。支撐構件116包含:支撐板142,固定於內電極構件130的上表面;以及支撐環144,圍繞支撐板142並且固定於外電極構件132的上表面。覆蓋環121被設置在支撐環144的周緣外表面上。在本實施例中,支撐板142具有大於內電極構件130的直徑。支撐板142的周緣部分146以半徑方向從內電極構件130的周緣向外延伸,並且被支撐在形成於支撐環144內的下凹表面148上。
以適當的接合技術,分別將內電極構件130以及外電極構件132固定於支撐板142以及支撐環144。如圖1所示,內電極構件130包含固定於支撐板142、外電極構件132以及支撐環144的表面;外電極構件132包含固定於內電極構件130以及支撐環144的表面;以及支撐環144包含固定於支撐板142、外電極構件132以及內電極構件130的表面。例如,內電極構件130、外電極構件132、支撐板142以及支撐環144的表面可使用彈性接合材料加以接合,此彈性接合材料可在附接的構件之間形成彈性接點。此彈性材料可適應熱應力,並且傳遞上電極114及支撐構件116之接合構件間的熱能以及電能。適當的彈性接合材料以及用以連接內電極構件130、外電極構件132、支撐板142、以及支撐環144的技術被揭露於共同擁有之美國專利第6073577號,其整體內容藉由參考文獻方式合併於此。
支撐板142以及支撐環144可由各種不同的材料所構成。用以形成支撐板142的適當材料可例如包含鋁(包含鋁及鋁合金,例如6061 Al)、石墨以及碳化矽。鋁支撐板可具有裸鋁外表面(即原生氧化外表面),或者具有形成在整個或僅部分外表面上的陽極處理外表面。支撐環144可例如由石英所構成。
在本實施例中,溫度控制模組120包含:加熱板150,固定於支撐板142以及支撐環144;冷卻板152,固定於頂板118;以及熱抗流器(thermal choke)154,座落並固定在加熱板150與冷卻板152之間。藉由扣結件190A,將冷卻板152附接於熱抗流器154以及加熱板150,此扣結件被插入冷卻板152的下凹開口,並且延伸穿過冷卻板152、熱抗流器154以及加熱板150的對正開口。扣結件190A較佳係包含具有鎖合墊圈以及滑移墊圈的墊圈組,此墊圈組用以抵抗在加熱板150之熱循環期間因為加熱板150的熱膨脹以及軸向與徑向移動而導致扣結件190A的鬆脫。
支撐板142包含徑向隔開的氣體分配充氣部156、158、160、162。中央充氣部156係藉由中央凹部以及覆蓋板170加以形成,而外充氣部158、160以及162係藉由支撐板142內的圈環狀溝槽以及覆蓋板170而形成。鄰接的充氣部對156與158;158與160;160與162係藉由各個圈環狀凸部166而彼此隔開。覆蓋板170可例如包含與支撐板142相同的材料。用於中央充氣部156的覆蓋板170較佳係具有盤形外觀,而用於外充氣部158、160以及162的覆蓋板170較佳係具有孔圈環(annular ring)構造。覆蓋板170較佳係被接合於支撐板142,以防止氣體從充氣部156、158、160以及162產生洩漏。在一實施例中,覆蓋板170可被熔接(welded)或硬焊(brazed)於支撐板142。
每一個充氣部156、158、160、162皆與支撐板142內的複數氣體流道135產生流體連通。經由加熱板150內的氣體流道164,將處理氣體從氣體供應源169供應至中央充氣部156。經由與氣體供應源169、以及形成在加熱板150內之徑向氣體分配通道168與軸向流道171產生流體連通的氣體流道165、167,將氣體分配至外充氣部158、160、162。
位於支撐板142內的氣體流道135與位於內電極構件130內的各個氣體流道133產生對正,以將處理氣體從氣體供應源169供應進入電漿處理室100。如同顯示,位於支撐板142內的氣體流道135可具有大於內電極構件130之氣體流道133的直徑。例如,氣體流道135可具有約0.04英吋的直徑,而氣體流道133可具有約0.020英吋至約0.025英吋的直徑。支撐環144包含與加熱板150之徑向氣體分配通道168以及外電極構件132之氣體流道產生流體連通的氣體流道147,以將處理氣體供應進入腔室。
溫度控制模組120為一種在電漿處理室中產生電漿時(即電漿「開啟」狀態)以及不產生電漿時(即電漿「關閉」狀態),用以調整並且維持噴淋頭電極組件110之上電極114之溫度控制的整合單元。溫度控制模組120用以將受控制的熱量供應至上電極114,並且從上電極114移除熱,而使上電極114維持在期望的溫度。溫度控制模組120可對上電極114的電漿曝露下表面136提供可靠且可重覆的溫度控制。例如,對於電極而言,吾人可以溫度控制模組120實現約±30℃或甚至更小的中央至邊緣最大溫度梯度。藉由更嚴密控制上電極114之下表面136的溫度以及遍佈於其的徑向溫度梯度,位在下表面136的電漿化學品可受到較佳的控制。
加熱板150用以透過支撐構件116而藉由熱傳導將熱供應至上電極114。加熱板150可為例如鋁、鋁合金等等的已加工物件或金屬鑄件。加熱板150可包含一個以上的加熱器,這些加熱器可用以對加熱板150提供期望的加熱能力。如圖1所示,加熱板150可包含位於加熱板150內(例如埋設)之徑向隔開的內部加熱元件172。如同顯示,加熱元件172可為圓形並且呈同心圓排列。例如,在圖1中,以配置在充氣部156上方之加熱元件172的兩個截面表示中央圓形加熱元件,並且以位於密封部186下方的兩個最外加熱元件172表示最外圓形加熱元件。圖1顯示六個圓形加熱元件。加熱元件172可相對於彼此而對稱排列。加熱元件172被電性連接至單一電源151,或多個電源,這些電源將電力供應至加熱元件172。例如,每一個加熱元件172可被連接至分離的電源,或者兩個以上加熱元件172的群組可被連接至各個電源。一個以上的電源151可選擇地將不同電量供應至單獨的加熱元件172(或加熱元件的群組),而對加熱板150之不同部分或區域進行可變控制加熱。例如,在操作噴淋頭電極組件110的期間,加熱板150用以將已知的熱量供應至上電極114,而將內電極構件130以及外電極構件132維持在或十分接近期望的溫度,例如溫度設定點。例如,上電極114可藉由溫度控制模組120而維持在約±5℃以下的溫度設定點內。
噴淋頭電極組件110可包含例如在支撐構件116上由一個以上溫度感測器所設置而成的溫度感測器裝置。各個溫度感測器可監測位在上電極114之各個部分的溫度,並且將此種溫度資訊供應至溫度控制器153。溫度控制器153可控制至少一電源151以將電力供應至加熱元件172,而對上電極114進行加熱。根據上電極114的實際以及期望溫度來控制至少一電源151,以將電力供應至加熱元件172。例如,在電漿蝕刻半導體基板之前,當電漿為關閉狀態時,加熱板150可被啟動而對上電極114進行加熱。又,在需要但電漿為開啟狀態時,加熱板150較佳係以較低電力等級被啟動,俾能獲得上電極114的期望溫度。
在溫度控制模組120中,冷卻板152用以冷卻加熱板150並且控制加熱板150與內電極構件130及外電極構件132之間的熱傳導。冷卻板152因為下列原因而具有微小的「熱質量(thermal mass)」。
物體被加熱及冷卻的速率係關於物體的熱容量,或「熱質量」,C。熱質量等於物體之材料比熱c與物體之質量m的乘積,即C=c‧m(方程式1)。因此,吾人可藉由改變物體的質量,即藉由改變用以形成物體之材料的體積而使物體變得更小及/或形成多孔性,以改變物體的熱質量。又,為了使物體溫度改變△T的量,必須藉由加熱物體而從熱源加諸於物體、或藉由冷卻物體而由物體所放出的熱量q,被表示為q=mc△T(方程式2)。因此,當物體的熱質量減少時,為了使物體溫度改變△T的量,必須增加至物體或從物體移除的熱量q亦會降低。
當物體與熱源產生實體接觸俾使熱藉由傳導而從熱源傳遞至物體時,當物體吸熱而使溫度增加時,熱源與物體之接觸表面間的溫度差會降低,此接著將降低從熱源至物體的熱傳速率。因此,藉由降低物體的熱質量,吾人能夠更嚴密地控制從熱源至物體的熱傳速率。
冷卻板152可對溫度控制模組120提供動態的溫度控制能力,因為冷卻板152具有微小的熱質量(俾能使必須加諸於或從冷卻板152移除以改變△T量之冷卻板溫度的熱量q被降低),且冷卻板152與頂板118熱隔絕。
冷卻板152係由導熱與導電性材料所構成,例如鋁、鋁合金等等。冷卻板152可為一體式材料,例如鑄件。在另一實施例中,冷卻板152可包含兩個物件,這些物件係沿著其相對主面而接合在一起。冷卻板152較佳係具有微小體積。如圖1所示,冷卻板152可具有近似外電極構件132之外徑的直徑。例如,冷卻板152可具有約15英吋至17英吋的直徑。冷卻板152可例如具有僅約1英吋至2英吋的微小厚度。
冷卻板152係受到溫度控制。如圖1所示,冷卻板152包含液體通道174,透過此液體通道,溫度控制液體可從至少一液體源175流動而對冷卻板152進行冷卻。液體通道174可為形成在一體式冷卻板152內的內部流道。或者,液體通道174可為形成在多件式冷卻板152之分離物件間的流道。此液體可例如為去離子水。液體源175較佳係將微小體積的液體供應至液體通道174而進行快速冷卻。此液體具有期望的溫度以及流率,以對冷卻板152提供期望的熱傳能力。例如,溫度控制液體可將冷卻板152維持在約20℃至約40℃的溫度。液體通道174亦可降低冷卻板152的質量,此可降低冷卻板152的熱質量。在溫度控制模組120中,冷卻板152的冷卻能力較佳係超出因為上電極114與基板載台間之間隙內所產生之電漿而在上電極114上所引起的加熱效應。此種冷卻能力可使溫度控制模組120在電漿為開啟狀態時,將上電極114之溫度設定點的過衝(overshooting)頻率與振幅降至最低。
除了具有微小質量以外,冷卻板152較佳係在噴淋頭電極組件110內與頂板118熱隔絕,以降低冷卻板152與頂板118之間的熱傳導。頂板118具有明顯大於冷卻板152的熱質量。在本實施例中,藉由降低位在頂板118與冷卻板152之間之界面176的總接觸表面積,而使冷卻板152與頂板118熱隔絕。例如,位於界面176之接觸表面積與面向頂板118之冷卻板152之上表面的總表面積的比率可為約20%至30%。在本實施例中,至少一溝槽被形成在頂板118的下表面內。例如,如圖1所示,此至少一溝槽可包含多個徑向隔開、呈同心圓排列的溝槽180。溝槽180可具有圈環狀構造。鄰接的溝槽180可被位在頂板118之下表面上的凸部182(其可為圈環狀凸部)加以隔開。凸部182與冷卻板152的上表面產生熱接觸。冷卻板152與頂板118之間的熱傳導首先發生在圈環狀凸部182。或者,(例如具有同心部分的)單一連續溝槽可被形成在頂板118的下表面內。此種冷卻板152與頂板118的隔熱可使熱傳導首先發生在加熱板150與微小冷卻板152之間,而非在加熱板150與頂板118之間,此頂板具有明顯大於冷卻板152的熱質量。
在本實施例中,熱抗流器154係位在加熱板150與冷卻板152之間,以控制這些板件之間的熱傳導。熱抗流器154可對從加熱板150至冷卻板152的熱流提供「熱阻(thermal resistance)」,而增強從加熱板150至冷卻板152之熱傳導速率的控制。「熱阻」一詞的意義說明於下。又,熱抗流器154較佳係可充分撓曲,以補償在操作噴淋頭電極組件110期間之熱循環所引起之加熱板150的徑向與軸向膨脹。
對於一維穩態熱傳狀態而言,遍佈材料的熱傳速率q被表示為:q=kA(T1 -T2 )/L(方程式3),此處的k為材料的導熱性;A為材料在與熱傳方向垂直之方向上的截面積;T1 為材料之其中一面的溫度而T2 為材料之相對面的溫度(△T=T1 -T2 ,此處的△T可為正或負);以及L為發生熱傳的材料長度。方程式3可被重新排列成:q=△T/(L/kA)(方程式4)。在方程式4中,L/kA一項被稱為材料的「熱阻」。方程式4顯示在已知△T值的情況下,增加材料的熱阻會降低沿著發生熱傳之材料長度的熱傳速率q。吾人可藉由增加L、降低k及/或降低A,而增加熱阻。
在本實施例中,熱抗流器154為具有固定於加熱板150以及冷卻板152之相對平面表面的板件。這些構件可例如藉由彈性接合、硬焊、熔接、或扣結件加以固定。如圖1所示,例如O形環的密封部186被設置在頂板118與冷卻板152之間、冷卻板152與熱抗流器154之間、以及熱抗流器154與加熱板150之間,以提供真空密封。
熱抗流器154可例如由與加熱板150以及冷卻板152相同的材料所構成。例如,熱抗流器154可由陽極處理或非陽極處理鋁或鋁合金(例如6061-T6或7075-T6鋁)所製造。或者熱抗流器154可由具有期望導熱性與結構特徵的其他金屬、非金屬材料或複合材料所製造。熱抗流器154具有可在加熱板150與冷卻板152之間有效提供期望熱阻的結構。例如,熱抗流器154可具有蜂巢狀的多孔板、波形板、或其他適當的多孔結構,以提供期望的熱阻。
在另一實施例中,熱抗流器154可為層狀結構,其包含例如鋁層以及具有低於鋁層之「k」值(參考方程式4)之金屬或非金屬導熱與導電性材料(例如高分子材料或不銹鋼)的至少一中間層,以增加熱抗流器的熱阻。例如,熱抗流器154可具有約0.25英吋至約1英吋的總厚度。
藉由操作溫度控制模組120,上電極114可在進行連續基板處理期間以及之間被維持在期望的溫度,俾能更均勻地處理多個基板,藉以改善處理良率。在示範實施例中,溫度控制模組120可將上電極114維持在約40℃至約200℃範圍內的溫度設定點,例如至少約100℃、至少約150℃、或至少約180℃。上電極114的期望溫度會取決於在電漿處理室100內所進行的特定電漿處理。例如,介電材料蝕刻處理係對上電極114及/或下電極施加高電力等級,而產生上電極114的高對應溫度。
相較於加熱板150與頂板118產生直接熱接觸而言,冷卻板152的微小質量結合熱抗流器154的熱阻以及冷卻板152與頂板118的隔熱,可對加熱板150與冷卻板152之間的熱傳速率進行更嚴密且更快速的控制。藉由改善加熱板150與冷卻板152之間的熱傳導控制,加熱板150可更嚴密地控制上電極114的溫度。溫度控制模組120亦可提供用以控制上電極114之溫度的期望快速響應時間。此響應時間係當加熱板150被開啟及關閉時,控制模組120分別在加熱期間上升(ramps up)以及在冷卻期間下降(ramps down)的速率。
圖2顯示包含噴淋頭電極組件210之另一示範實施例的電漿處理室200。如圖2所示,噴淋頭電極組件210包含:上電極214;支撐構件216,固定於上電極214;頂板218;以及溫度控模組220,位於支撐構件216與頂板218之間。電漿約束環組件222在電漿處理室200內圍繞著上電極214。基板載台212(在部分視圖中)被配置在上電極214的下方。如下所述,上電極214以及溫度控制模組220具有不同於圖1所示之上電極114以及溫度控制模組120的結構特徵。
在圖2所示之實施例中,上電極214包含內電極構件230以及圍繞內電極構件230的外電極構件232。內電極構件230為一體式材料,其包含較佳係以鈍角從內電極構件230之較薄內部的下表面236向外延伸而逐漸增加厚度的階梯231。內電極構件230包含多個氣體流道233,透過這些氣體流道,處理氣體可被注入位於上電極214與基板載台212之間的空間(間隙)。外電極構件232可延伸上電極214的直徑,並且可為連續環或包含多個環段。如圖2所示,外電極構件232以及內電極構件230包含與位於階級231上之下凸部產生重疊的嚙合凸部215,其較佳係與位在外電極構件232上的上凸部產生互鎖(interlocking)。
在本實施例中,支撐板242沿著界面240被固定在內電極構件230的上表面,而支撐環244被固定在外電極構件232的上表面。如同顯示,支撐板242具有近似相同於內電極構件230的直徑。內電極構件230以及外電極構件232藉由適當的接合技術而分別固定於支撐板242以及支撐環244。如圖2所示,內電極構件230包含固定於支撐板242、外電極構件232以及支撐環244的表面;外電極構件232包含固定於內電極構件230以及支撐環244的表面;支撐板242包含固定於內電極構件230以及支撐環244的表面;以及支撐環244包含固定於支撐板242、外電極構件232以及內電極構件230的表面。例如,吾人可使用導熱與導電性彈性接合材料,將這些表面接合在一起。
溫度控制模組220包含:加熱板250,附接於支撐板242以及支撐環244;以及冷卻板252,附接於加熱板250以及頂板218。覆蓋環221被設置在加熱板250以及支撐環244的徑向外表面上。
支撐板242包含複數個氣體充氣部256、258、260、262,每一個充氣部皆與位於支撐板242內的複數個氣體流道235產生流體連通。中央充氣部256係藉由中央凹部以及覆蓋板270而形成,而充氣部258、260、262係藉由圈環狀溝槽以及覆蓋板270而形成。覆蓋板270較佳係與支撐板242接合。在一實施例中,覆蓋板270可熔接或硬焊在支撐板242。處理氣體經由氣體流道264而被供應至中央充氣部256。鄰接的充氣部對256與258;258與260;260與262係藉由位於支撐板242上的圈環狀凸部266而加以隔開。氣體經由加熱板250內的氣體流道265、267以及徑向氣體分配通道268以及軸向流道271,而被供應至外充氣部258、260、262。
位於支撐板242內的氣體流道235與位於內電極構件230內的各個氣體流道233進行對正,以將氣體供應進入電漿處理室200。支撐環244包含充氣部245,此充氣部與加熱板250內的氣體分配通道268、支撐環244內的氣體流道247、以及外電極構件232內的氣體流道249產生流體連通。氣體經由氣體流道249而被供應進入腔室。
加熱板250包含加熱元件272,這些元件以控制的方式透過支撐構件216將熱供應至上電極214。加熱板250可用以將內電極構件230以及外電極構件232維持在期望的溫度。加熱元件272被電性連接至單一電源251,或多個電源。溫度感測裝置可被設置在支撐構件216上,以監測上電極214的溫度並且將此種溫度資訊供應至溫度控制器253。此溫度控制器用以控制至少一電源251,以將電力供應至加熱板250而對內電極構件230以及外電極構件232進行加熱。加熱板250可以上述關於加熱板150的相同方式來進行操作。
如上所述,冷卻板252可用以冷卻加熱板250並且控制加熱板250與上電極214之間的熱傳。冷卻板252可對此種熱傳速率提供嚴密的控制。冷卻板252具有微小質量,並且可由導熱與導電性材料所製造。如圖2所示,冷卻板252可具有近似內電極構件230以及外電極構件232的直徑。例如,冷卻板252可具有約15英吋至17英吋的直徑,以及僅約1英吋至約2英吋的微小厚度。
冷卻板252包含液體流道274,具有期望溫度的溫度控制液體可從單一液體源275或從一個以上的液體源進入此液體流道。例如,溫度控制液體可將冷卻板252維持在約20℃至約40℃的溫度。冷卻板252的冷卻能力較佳係足以將因電漿加熱效應所引起之上電極214的溫度過衝降至最低。
冷卻板252藉由形成在頂板218之下表面內的至少一溝槽280,而與頂板218熱隔絕。一個以上的溝槽280被凸部282(例如圈環狀凸部)所隔開,這些凸部與冷卻板252的上表面接觸。熱首先經由圈環狀凸部282而在頂板218與冷卻板252之間進行傳導。例如,位於凸部之頂板218與冷卻板252之間的接觸表面積與面向頂板218之冷卻板252的上表面總表面積的比率可為約20%至30%。
在本實施例中,一個以上的熱抗流器被設置在加熱板250與冷卻板252之間,以對加熱板250與冷卻板252之間的熱傳導速率提供增強的控制。如圖2所示,複數個熱抗流器254、255、257以及259可被設置在加熱板250與冷卻板252之間。熱抗流器254、255、257以及259為呈同心圓排列的孔圈環(annular ring),這些孔圈環被設置在形成於冷卻板252之下表面內的各個溝槽中。這些圈環可為一體式的連續環,或者可包含兩個以上的環段。扣結件290A被容納在位於頂板218;冷卻板252;熱抗流器254、255、257以及259;加熱板250以及支撐板242內的對正開口中。熱抗流器254、255、257以及259可由與加熱板250以及冷卻板252相同的材料所構成,或者由其他具有適當導熱性與結構特徵的金屬或非金屬材料所構成。例如,熱抗流器254、255、257以及259可由不銹鋼所構成,此不銹鋼具有低於使用在加熱板250及/或冷卻板252之鋁的導熱性。
圖3顯示熱抗流器257的示範實施例。具有不同於熱抗流器257之尺寸的熱抗流器254、255可具有與熱抗流器257相同的成分與結構。如圖3所示,熱抗流器257包含徑向通口261,此徑向通口用以降低熱傳導用的截面積,並因此增加熱抗流器257的熱阻。在另一實施例中,熱抗流器257可為藉由粉末冶金所製造的多孔燒結環,例如不銹鋼環。熱抗流器254、255亦可為多孔燒結環。多孔燒結環可被製造具有期望的孔結構,以提供期望的熱阻。熱抗流器257(以及熱抗流器254、255)亦包含周緣隔開、呈軸向延伸的開口263,其用以容納螺紋扣結件290A。
圖4顯示最外熱抗流器259的示範實施例。如圖2所示,熱抗流器259可形成冷卻板252之徑向外表面的部分。熱抗流器259較佳係無孔性(即具有等於用以形成熱抗流器之材料之理論密度的密度)。複數個向內延伸的凸部包含周緣隔開、呈軸向延伸的開口263,其用以容納螺紋扣結件290A。
如圖2所示,熱抗流器254、255、257以及259具有大於形成在冷卻板252內之各個溝槽的高度(即在軸方向),俾能使冷卻板252被支撐在熱抗流器254、255、257以及259上,以及軸向間隙273被形成在冷卻板252的下表面與加熱板250的上表面之間。間隙273可免除加熱板250與冷卻板252之間的直接實體接觸,並且透過熱抗流器254、255、257以及259,而迫使熱傳導發生在加熱板250與冷卻板252之間。
熱抗流器254、255、257以及259可具有約0.25英吋至約0.75英吋的示範高度,例如約0.5英吋,以及具有約0.5英吋至約1英吋的示範寬度,例如約0.75英吋。例如,熱抗流器254可具有約2英吋至約4英吋的外徑,熱抗流器255可具有約6英吋至約8英吋的外徑,熱抗流器257可具有約10英吋至約12英吋的外徑,以及熱抗流器259可具有約15英吋至約17英吋的外徑。如同顯示,例如O形環的密封部286被設置在冷卻板252與頂板218之間、冷卻板252與熱抗流器259之間、以及熱抗流器259與加熱板250之間,以形成真空密封。
在本實施例中,吾人以螺紋扣結件290A將冷卻板252固定於加熱板250。每一個扣結件290A較佳係包含具有鎖合墊圈以及滑移墊圈的墊圈組,以抵抗因為加熱板250之溫度循環及熱膨脹與移動所引起之扣結件290A的鬆脫。
因此,在本實施例中,相較於加熱板250直接與頂板218產生接觸而言,冷卻板252的微小質量結合熱抗流器254、255、257以及259所提供的熱阻,以及冷卻板252與頂板218的隔熱,可對加熱板250與冷卻板252之間的熱傳速率進行改善的控制。溫度控制模組220可對上電極214的溫度進行更嚴密的控制。此外,整合的溫度控制模組220可提供用以控制上電極214之溫度的期望快速響應時間。
圖5顯示包含噴淋頭電極組件310之另一示範實施例之半導體材料電漿處理設備的電漿處理室300。如圖5所示,噴淋頭電極組件310包含:上電極314;支撐構件316,固定於上電極314;頂板318;以及溫度控制模組320,配置在支撐構件316與頂板318之間。約束環組件322在電漿處理室300內圍繞著上電極314。包含下電極以及任意靜電箝制電極的基板載台312(顯示在部分視圖中)被配置在上電極314的下方。
例示的噴淋頭電極組件310包含支撐板342以及支撐環344。支撐板342包含充氣部356、358、360以及362。除了支撐板342的不同結構以外,噴淋頭電極組件310具有與噴淋頭電極組件210相同的結構。如圖5所示,充氣部356、358、360以及362在軸方向具有朝上電極314增加的寬度。充氣部之寬度的擴大可提供用以在支撐板342與加熱板350之間設置例如O形環之密封部392的足夠面積,以防止氣體從充氣部產生洩漏,並且在支撐板342的上表面與加熱板350的下表面之間提供足夠的熱接觸面積。
為了定期保養而從上電極314拆卸支撐板342時,圖5之支撐板342的構造可在不移除上層覆蓋板(例如圖1與圖2之覆蓋板170/270)的情況下,促進清理充氣部356、358、360以及362之內部表面的能力。
圖6顯示加熱板650的一實施例,其包含用以對外電極構件632以及內電極構件630進行單獨溫度控制的外加熱板650A以及內加熱板650B。外加熱板650A包含加熱元件672A,而內加熱板650B包含加熱元件672B,其中加熱元件672A以及672B分別連接至同一或分離的電源。外加熱板650A可使用適當的扣結件而被固定於支撐環644;而外電極構件632可與支撐環644接合。內加熱板650B可藉由適當的扣結件而被固定於支撐板642;而內電極構件630可與支撐板642接合。圖6的實施例可對外電極構件632提供與內電極構件630無關的溫度控制。吾人應注意到圖6的加熱板650可用於圖1、2或5其中任一的實施例。
圖7顯示噴淋頭電極組件之示範實施例的溫度對時間響應的圖表,此噴淋頭電極組件包含:上電極,包含內電極構件、外電極構件、附接於內與外電極構件的支撐板與支撐環;以及溫度控制模組,附接於支撐板與支持環以及頂板。此溫度控制模組包含位於加熱板與冷卻板之間的熱抗流環。這些熱抗流環包含:中央不銹鋼環;最外不銹鋼環;以及鋁環,位於中央與最外環之間。加熱器電力為7kW,處於一溫度下的冷卻劑流過冷卻板,將加熱器開啟約17分鐘並且關閉約17分鐘。上電極的溫度設定點為200℃。溫度控制模組經過數個循環之在加熱時(開啟加熱器電力)的上升速率以及在冷卻時(關閉加熱器電力)的下降速率響應,可藉由位於遍佈上電極之不同位置的多個熱電耦A至F而加以量測。
吾人可藉由將熱抗流環的設計(構造以及成分)進行最佳化,而將溫度控制模組(包含一個以上位於冷卻板與加熱板之間的熱抗流環)之實施例的響應時間最佳化成期望的操作範圍,以在溫度控制模組內控制這些板件之間的熱傳導。在包含熱抗流板之溫度控制模組的其他實施例中,熱抗流板的構造與成分可被最佳化,以控制冷卻板與加熱板之間的熱傳導。
雖然本發明已參考其特定實施例加以詳細說明,但熟習本項技藝者可明白在不離開隨附請求項之範圍的情況下,可進行各種變化與修改,以及利用等效設計。
100...電漿處理室
110...噴淋頭電極組件
112...基板載台
114...上電極
116...支撐構件
118...頂板
120...溫度控制模組
121...覆蓋環
122...約束環組件
124...電漿約束環
126...揚升機構
128...上表面
130...內電極構件
132...外電極構件
133...氣體流道
134...內階梯
135...氣體流道
136...電漿曝露下表面
138...下凹外緣
140...平面界面
142...支撐板
144...支撐環
146...周緣部分
147...氣體流道
148...下凹表面
150...加熱板
151...電源
152...冷卻板
153...溫度控制器
154...熱抗流器
156...氣體分配充氣部
158...氣體分配充氣部
160...氣體分配充氣部
162...氣體分配充氣部
164...氣體流道
165...氣體流道
166...圈環狀凸部
167...氣體流道
168...氣體分配通道
169...氣體供應源
170...覆蓋板
171...軸向流道
172...加熱元件
174...液體通道
175...液體源
176...界面
180...溝槽
182...圈環狀凸部
186...密封部
190A...扣結件
200...電漿處理室
210...噴淋頭電極組件
212...基板載台
214...上電極
215...嚙合凸部
216...支撐構件
218...頂板
220...溫度控制模組
221...覆蓋環
222...電漿約束環組件
230...內電極構件
231...階梯
232...外電極構件
233...氣體流道
235...氣體流道
236...下表面
240...界面
242...支撐板
244...支撐環
245...充氣部
247...氣體流道
249...氣體流道
250...加熱板
251...電源
252...冷卻板
253...溫度控制器
254...熱抗流器
255...熱抗流器
256...氣體分配充氣部
257...熱抗流器
258...氣體分配充氣部
259...熱抗流器
260...氣體分配充氣部
261...徑向通口
262...氣體分配充氣部
263...開口
264...氣體流道
265...氣體流道
266...圈環狀凸部
267...氣體流道
268...氣體分配通道
270...覆蓋板
271...軸向流道
273...軸向間隙
272...加熱元件
274...液體流道
275...液體源
280...溝槽
282...圈環狀凸部
286...密封部
290A...扣結件
300...電漿處理室
310...噴淋頭電極組件
312...基板載台
314...上電極
316...支撐構件
318...頂板
320...溫度控制模組
322...約束環組件
342...支撐板
344...支撐環
350...加熱板
356...充氣部
358...充氣部
360...充氣部
362...充氣部
392...密封部
630...內電極構件
632...外電極構件
642...支撐板
644...支撐環
650...加熱板
650A...外加熱板
650B...內加熱板
672A...加熱元件
672B...加熱元件
圖1係半導體材料處理設備之電漿處理室的橫剖面圖,其包含噴淋頭電極組件的一示範實施例,此噴淋頭電極組件包含溫度控制模組;
圖2係半導體材料處理設備之電漿處理室的橫剖面圖,其包含噴淋頭電極組件的另一示範實施例,此噴淋頭電極組件包含溫度控制模組;
圖3顯示圖2所示之溫度控制模組之熱抗流器的一示範實施例;
圖4顯示圖2所示之溫度控制模組之熱抗流器的另一示範實施例;
圖5係半導體材料處理設備之電漿處理室的橫剖面圖,其包含噴淋頭電極組件的另一示範實施例,此噴淋頭電極組件包含溫度控制模組;
圖6係包含外加熱板以及內加熱板之加熱板之另一實施例的橫剖面圖;及
圖7顯示溫度控制模組之一示範實施例之溫度對時間(上升以及下降速率)的圖表。
100...電漿處理室
110...噴淋頭電極組件
112...基板載台
114...上電極
116...支撐構件
118...頂板
120...溫度控制模組
121...覆蓋環
122...約束環組件
124...電漿約束環
126...揚升機構
128...上表面
130...內電極構件
132...外電極構件
133...氣體流道
134...內階梯
135...氣體流道
136...電漿曝露下表面
138...下凹外緣
140...平面界面
142...支撐板
144...支撐環
146...周緣部分
147...氣體流道
148...下凹表面
150...加熱板
151...電源
152...冷卻板
153...溫度控制器
154...熱抗流器
156...氣體分配充氣部
158...氣體分配充氣部
160...氣體分配充氣部
162...氣體分配充氣部
164...氣體流道
165...氣體流道
166...圈環狀凸部
167...氣體流道
168...氣體分配通道
169...氣體供應源
170...覆蓋板
171...軸向流道
172...加熱元件
174...液體通道
175...液體源
176...界面
180...溝槽
182...圈環狀凸部
186...密封部
190A...扣結件

Claims (18)

  1. 一種噴淋頭電極組件用的溫度控制模組,該電極組件係用於半導體材料電漿處理室,該溫度控制模組包含:一加熱板,具有下表面,該下表面固定於該噴淋頭電極組件之一上電極的上表面,該上電極具有電漿曝露下表面,該加熱板包含至少一加熱器,該加熱器用以將熱供應至該上電極,以控制該上電極的溫度;一冷卻板,具有上表面,該上表面固定於一頂板的下表面並且與其熱隔絕,該頂板用以形成該電漿處理室的一頂壁,該冷卻板用以控制該加熱板的溫度,並且控制該加熱板與該上電極之間的熱傳導;及複數導電與導熱性熱抗流器,位於該加熱板的上表面與該冷卻板的下表面之間,並且與該加熱板的上表面以及該冷卻板的下表面產生接觸,該熱抗流器用以控制該加熱板與該冷卻板之間的熱傳導;其中該熱抗流器包含至少一第一環及一第二環,而該第二環圍繞該第一環,該第二環為無孔性且形成該溫度控制模組的外表面。
  2. 如申請專利範圍第1項之噴淋頭電極組件用的溫度控制模組,其中:該加熱板包含:一金屬物件,具有埋設於其中的加熱元件;以及氣體分配流道,與一氣體供應源以及位於該噴淋頭電極組件之一支撐構件內的氣體分配充氣部產生流體連通,該加熱元件被連接至用以將電力供應至該加熱元件的至少一電源;及該冷卻板包含:一金屬物件,具有形成於其中的液體通道,該液體通道與一溫度控制液體的至少一來源產生流體連通,該溫度控制液體被供應至該液體通道,以控制該冷卻板的溫度。
  3. 如申請專利範圍第1項之噴淋頭電極組件用的溫度控制模組,其 中:該冷卻板在該下表面中包含複數個徑向間隔、呈同心圓排列的第一溝槽,每一個該第一溝槽具有第一高度;及各熱抗流器為一配置在該冷卻板內之個別第一溝槽中的環,各熱抗流器相對於彼此係以同心圓方式排列,各熱抗流器具有大於配置著各熱抗流器之該個別第一溝槽之第一高度的第二高度,以使各熱抗流器將該冷卻板支撐在該加熱板上,一間隙係形成在該冷卻板的該下表面與該加熱板的該上表面之間,且熱能係透過該環而在該加熱板與該冷卻板之間進行傳導。
  4. 如申請專利範圍第3項之噴淋頭電極組件用的溫度控制模組,其中該第一環包含複數個通口。
  5. 如申請專利範圍第3項之噴淋頭電極組件用的溫度控制模組,其中該第一環為一燒結多孔性金屬物體。
  6. 如申請專利範圍第1項之噴淋頭電極組件用的溫度控制模組,其中:該冷卻板、該熱抗流器以及該加熱板包含對正開口;及一螺紋扣結件被容納在各該對正開口內,以使該冷卻板、該熱抗流器以及該加熱板彼此固定,每一個螺紋扣結件包含一墊圈組,該墊圈組用以抵抗因該加熱板之熱循環所引起之該扣結件的鬆脫。
  7. 一種電漿處理室用的噴淋頭電極組件,包含:一頂板,用以形成一電漿處理室的一頂壁;一上電極,包含上表面以及電漿曝露下表面;及一溫度控制模組,包含:一加熱板,具有下表面,該下表面固定於該上電極的上表面,該加熱板包含至少一加熱器,該加熱器用以將熱供應至該 上電極,以控制該上電極的溫度;一冷卻板,具有上表面,該上表面固定於該頂板的下表面並且與其熱隔絕,該冷卻板用以控制該加熱板的溫度,並且控制該加熱板與該上電極之間的熱傳導;及複數導電與導熱性熱抗流器,位於該加熱板的上表面與該冷卻板的下表面之間,並且與該加熱板的上表面以及該冷卻板的下表面產生熱接觸,該熱抗流器用以控制該加熱板與該冷卻板之間的熱傳導;其中該熱抗流器包含至少一第一環及一第二環,而該第二環圍繞該第一環,該第二環為無孔性且形成該溫度控制模組的外表面。
  8. 如申請專利範圍第7項之電漿處理室用的噴淋頭電極組件,其中:該加熱板包含與一氣體供應源產生流體連通的徑向氣體分配流道;及該上電極包含:一內電極構件,包含複數個第一氣體流道;一外電極構件,固定於並圍繞該內電極構件,該外電極構件包含複數個第二氣體流道;一支撐板,固定於該內電極構件的上表面,該支撐板包含複數個與該往向氣體分配流道產生流體連通之徑向間隔充氣部,以及與個別第一氣體流道產生流體連通的第三氣體流道;及一支撐環,圍繞該支撐板,該支撐環包含複數個與該徑向氣體分配流道產生流體連通的第四氣體流道。
  9. 如申請專利範圍第8項之電漿處理室用的噴淋頭電極組件,其中:該內電極構件包含與該支撐板、該外電極構件以及該支撐環接合的表面; 該外電極構件包含與該內電極構件以及該支撐環接合的表面;該支撐板包含與該內電極構件以及該支撐環接合的表面;及該支撐環包含與該支撐板、該外電極構件以及該內電極構件接合的表面。
  10. 如申請專利範圍第8項之電漿處理室用的噴淋頭電極組件,其中該外電極構件包含以鈍角從該內電極構件之電漿曝露下表面向外延伸的一階梯。
  11. 如申請專利範圍第8項之電漿處理室用的噴淋頭電極組件,其中該內電極構件包含以鈍角從該內電極構件之電漿曝露下表面向外延伸的一階梯。
  12. 如申請專利範圍第8項之電漿處理室用的噴淋頭電極組件,其中:該加熱板包含一金屬物件,該金屬物件具有埋設於其中的加熱元件,該加熱元件被連接至用以將電力供應至該加熱元件的至少一電源;及該冷卻板包含一金屬物件,該金屬物件具有形成於其中的液體通道,該液體通道與一溫度控制液體的至少一來源產生流體連通,該溫度控制液體被供應至該液體通道,以控制該冷卻板的溫度;或該加熱板包含具有至少一內加熱元件的一內加熱板以及具有至少一外加熱元件的一外加熱板,該內加熱元件與該外加熱元件被連接至個別電源,該個別電源用以將電力供應至該內加熱元件以及該外加熱元件,並且控制該內電極構件以及該外電極構件的溫度。
  13. 如申請專利範圍第8項之電漿處理室用的噴淋頭電極組件,其 中:該冷卻板包含下表面以及複數個位於該下表面中之徑向間隔、呈同心圓排列的第一溝槽,各該第一溝槽具有第一高度;及各熱抗流器為一配置在該冷卻板內之個別第一溝槽中的環,並且具有大於配置著各熱抗流器之該個別第一溝槽之第一高度的第二高度,以使各熱抗流器將該冷卻板支撐在該加熱板上,一間隙係形成在該冷卻板的下表面與該加熱板的上表面之間,並且透過各熱抗流器,使熱在該加熱板與該冷卻板之間進行傳導。
  14. 如申請專利範圍第13項之電漿處理室用的噴淋頭電極組件,其中該第一環具有複數個通口或為一燒結多孔性金屬物體。
  15. 如申請專利範圍第7項之電漿處理室用的噴淋頭電極組件,其中:該冷卻板、該熱抗流器以及該加熱板包含對正開口;及一螺紋扣結件被容納在各該對正開口內,以使該冷卻板、該熱抗流器以及該加熱板彼此固定,每一個螺紋扣結件包含一墊圈組,該墊圈組用以抵抗因該加熱板之熱循環所引起之該扣結件的鬆脫。
  16. 如申請專利範圍第7項之電漿處理室用的噴淋頭電極組件,其中該頂板的該下表面包含徑向間隔、呈同心圓排列的第二溝槽,鄰接的該第二溝槽藉由位於該頂板之該下表面上的環狀凸部加以隔開,而該頂板在該環狀凸部處與該冷卻板的該上表面產生接觸。
  17. 一種噴淋頭電極組件之上電極溫度的控制方法,該噴淋頭電極組件係位於包含一基板載台的一電漿處理室中,該基板載台具有一下電極,該噴淋頭電極組件包含用以形成該電漿處理室之一頂壁的一頂板,以及座落並固定在該頂板與該上電極之間如申請專利範圍第1項的溫度控制模組,該方法包含: 在該電漿處理室中,於該上電極與該基板載台之間的一間隙內產生電漿;從至少一電源將電力施加至如申請專利範圍第1項的溫度控制模組之該加熱板的該至少一加熱器,以對該上電極進行加熱;從至少一液體源將一溫度控制液體供應至如申請專利範圍第1項的溫度控制模組之該冷卻板的液體通道,以控制該冷卻板的溫度;及(i)藉由使該冷卻板與該頂板熱隔絕來控制該冷卻板與該頂板之間的熱傳導、(ii)藉由位在該冷卻板與該加熱板之間的該複數熱抗流器來控制該冷卻板與該加熱板之間的熱傳導、以及(iii)藉由控制該加熱板的溫度而控制該加熱板與該上電極之間的熱傳導,從而將該上電極維持在期望的溫度。
  18. 如申請專利範圍第17項之噴淋頭電極組件之上電極溫度的控制方法,其中:當產生電漿時、以及當不產生電漿時,該溫度控制模組將該上電極之溫度維持在約40℃至約200℃設定點的約±5℃內;及該溫度控制模組將該上電極的最大徑向中央至邊緣溫度梯度維持在約±30℃。
TW97136827A 2007-09-25 2008-09-25 電漿處理設備的噴淋頭電極組件、及其溫度控制模組與溫度控制方法 TWI473538B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US96033107P 2007-09-25 2007-09-25

Publications (2)

Publication Number Publication Date
TW200922388A TW200922388A (en) 2009-05-16
TWI473538B true TWI473538B (zh) 2015-02-11

Family

ID=40472135

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97136827A TWI473538B (zh) 2007-09-25 2008-09-25 電漿處理設備的噴淋頭電極組件、及其溫度控制模組與溫度控制方法

Country Status (6)

Country Link
US (2) US8313610B2 (zh)
JP (1) JP5194125B2 (zh)
KR (1) KR101519684B1 (zh)
CN (1) CN101809717B (zh)
TW (1) TWI473538B (zh)
WO (1) WO2009042137A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779052B (zh) * 2017-06-26 2022-10-01 日商東京威力科創股份有限公司 供電構件及基板處理裝置

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5712741B2 (ja) * 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US8906248B2 (en) 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2015536043A (ja) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102452722B1 (ko) * 2015-08-27 2022-10-06 삼성전자주식회사 기판 처리 장치
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
KR20170073757A (ko) * 2015-12-18 2017-06-29 삼성전자주식회사 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
JP6745643B2 (ja) * 2016-05-17 2020-08-26 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10571337B2 (en) 2017-05-26 2020-02-25 Applied Materials, Inc. Thermal cooling member with low temperature control
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP6920245B2 (ja) * 2018-04-23 2021-08-18 東京エレクトロン株式会社 温度制御方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020147795A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
US10553403B1 (en) * 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
US20210238746A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
US11204206B2 (en) 2020-05-18 2021-12-21 Envertic Thermal Systems, Llc Thermal switch
CN113804046B (zh) * 2020-06-15 2023-10-13 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220093361A1 (en) 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
CN113136568B (zh) * 2021-04-07 2022-10-11 拓荆科技股份有限公司 一种节能型主动控温喷淋头
JPWO2022260042A1 (zh) * 2021-06-07 2022-12-15
US12074010B2 (en) * 2021-09-09 2024-08-27 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN115896745A (zh) * 2021-11-24 2023-04-04 无锡先为科技有限公司 成膜装置
CN114975178B (zh) * 2022-05-18 2024-04-05 江苏微导纳米科技股份有限公司 温度控制组件、半导体处理腔室及半导体处理设备

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW483087B (en) * 1999-06-21 2002-04-11 Tokyo Electron Ltd Plasma processing device, electrode structure thereof, and mounting base structure
TW200524038A (en) * 2003-12-23 2005-07-16 Lam Res Corp Showerhead electrode assembly for plasma processing apparatuses
TW200541414A (en) * 2004-04-30 2005-12-16 Lam Res Corp Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
KR20060085358A (ko) * 2005-01-24 2006-07-27 삼성전자주식회사 막 형성 장치
CN1310290C (zh) * 2003-05-13 2007-04-11 东京毅力科创株式会社 上部电极和等离子体处理装置
US20070137573A1 (en) * 2005-12-21 2007-06-21 Arnold Kholodenko Apparatus for an optimized plasma chamber grounded electrode assembly
TW200733203A (en) * 2000-09-08 2007-09-01 Tokyo Electron Ltd Shower head structure and cleaning method thereof

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3459925A (en) * 1965-10-21 1969-08-05 Atomic Energy Commission High speed temperature monitor
US4114442A (en) * 1976-09-03 1978-09-19 Avicon Development Group Temperature monitoring system
US4165642A (en) * 1978-03-22 1979-08-28 Lipp Robert J Monolithic CMOS digital temperature measurement circuit
JPS57148221A (en) * 1981-03-10 1982-09-13 Citizen Watch Co Ltd Temperature detecting device
US5319370A (en) * 1992-08-31 1994-06-07 Crystal Semiconductor, Inc. Analog-to-digital converter with a continuously calibrated voltage reference
US5345236A (en) * 1992-12-21 1994-09-06 Harris Corporation Improved sigma-delta type analog-to-digital converter and method
US5604467A (en) * 1993-02-11 1997-02-18 Benchmarg Microelectronics Temperature compensated current source operable to drive a current controlled oscillator
US5408235A (en) * 1994-03-07 1995-04-18 Intel Corporation Second order Sigma-Delta based analog to digital converter having superior analog components and having a programmable comb filter coupled to the digital signal processor
US5617090A (en) * 1995-05-10 1997-04-01 Harris Corporation Multi-channel sigma-delta A/D converters with improved throughput
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5867054A (en) * 1997-07-31 1999-02-02 National Semiconductor Corporation Current sensing circuit
US6242974B1 (en) * 1998-03-25 2001-06-05 Micrel,Inc Self-calibrating operational amplifier
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6243034B1 (en) * 1998-10-29 2001-06-05 National Instruments Corporation Integrating analog to digital converter with improved resolution
JP2002530763A (ja) * 1998-11-12 2002-09-17 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 絶対温度に比例する基準電流を供給する定電流発生器
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6310571B1 (en) * 2001-03-30 2001-10-30 Pixim, Incorporated Multiplexed multi-channel bit serial analog-to-digital converter
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6714886B2 (en) * 2002-02-13 2004-03-30 Eric C. Sung System and method of DC calibration of amplifiers
US6590372B1 (en) * 2002-02-19 2003-07-08 Texas Advanced Optoelectronic Solutions, Inc. Method and integrated circuit for bandgap trimming
EP1512164B1 (en) 2002-05-23 2016-01-06 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
FR2845767B1 (fr) * 2002-10-09 2005-12-09 St Microelectronics Sa Capteur numerique de temperature integre
US6869216B1 (en) * 2003-03-27 2005-03-22 National Semiconductor Corporation Digitizing temperature measurement system
US7049987B2 (en) * 2003-08-12 2006-05-23 Siemens Building Technologies Ag Arrangement for generating a clock signal for a sigma-delta analog-to-digital converter
US20050279384A1 (en) 2004-06-17 2005-12-22 Guidotti Emmanuel P Method and processing system for controlling a chamber cleaning process
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW483087B (en) * 1999-06-21 2002-04-11 Tokyo Electron Ltd Plasma processing device, electrode structure thereof, and mounting base structure
TW200733203A (en) * 2000-09-08 2007-09-01 Tokyo Electron Ltd Shower head structure and cleaning method thereof
CN1310290C (zh) * 2003-05-13 2007-04-11 东京毅力科创株式会社 上部电极和等离子体处理装置
TW200524038A (en) * 2003-12-23 2005-07-16 Lam Res Corp Showerhead electrode assembly for plasma processing apparatuses
TW200541414A (en) * 2004-04-30 2005-12-16 Lam Res Corp Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
KR20060085358A (ko) * 2005-01-24 2006-07-27 삼성전자주식회사 막 형성 장치
US20070137573A1 (en) * 2005-12-21 2007-06-21 Arnold Kholodenko Apparatus for an optimized plasma chamber grounded electrode assembly

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779052B (zh) * 2017-06-26 2022-10-01 日商東京威力科創股份有限公司 供電構件及基板處理裝置

Also Published As

Publication number Publication date
KR101519684B1 (ko) 2015-05-12
WO2009042137A2 (en) 2009-04-02
KR20100075957A (ko) 2010-07-05
US8313610B2 (en) 2012-11-20
TW200922388A (en) 2009-05-16
US20130126518A1 (en) 2013-05-23
CN101809717A (zh) 2010-08-18
WO2009042137A3 (en) 2009-06-04
US20090081878A1 (en) 2009-03-26
CN101809717B (zh) 2012-10-10
JP5194125B2 (ja) 2013-05-08
JP2010541239A (ja) 2010-12-24

Similar Documents

Publication Publication Date Title
TWI473538B (zh) 電漿處理設備的噴淋頭電極組件、及其溫度控制模組與溫度控制方法
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
KR101541202B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
US8317968B2 (en) Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP4745961B2 (ja) 温度制御された基板支持体表面を有する基板支持体及びその制御方法並びに半導体処理装置及びその方法
KR20090019788A (ko) 가열장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees