JP2010541239A - プラズマ処理装置のためのシャワーヘッド電極アセンブリ用温度制御モジュール - Google Patents
プラズマ処理装置のためのシャワーヘッド電極アセンブリ用温度制御モジュール Download PDFInfo
- Publication number
- JP2010541239A JP2010541239A JP2010526926A JP2010526926A JP2010541239A JP 2010541239 A JP2010541239 A JP 2010541239A JP 2010526926 A JP2010526926 A JP 2010526926A JP 2010526926 A JP2010526926 A JP 2010526926A JP 2010541239 A JP2010541239 A JP 2010541239A
- Authority
- JP
- Japan
- Prior art keywords
- plate
- cooling plate
- heating plate
- ring
- control module
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05B—ELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
- H05B3/00—Ohmic-resistance heating
- H05B3/02—Details
- H05B3/03—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
【選択図】 図1
Description
Claims (20)
- 半導体材料プラズマ処理チャンバのためのシャワーヘッド電極アセンブリ用の温度制御モジュールであって、
前記温度制御モジュールは、前記シャワーヘッド電極アセンブリの上部電極の上部表面に固定されるように構成された下部表面を有する加熱プレートを備え、前記上部電極は、プラズマに曝される下部表面を有し、前記加熱プレートは、前記上部電極の温度を制御するために前記上部電極に熱を供給するように構成された少なくとも1つのヒータを含み、
前記温度制御モジュールは、前記プラズマ処理チャンバの上部壁を形成する上部プレートの下部表面に固定され、前記上部プレートの前記下部表面から熱的に分離されるように構成された上部表面を有する冷却プレートを備え、前記冷却プレートは、前記加熱プレートの温度を制御し、前記加熱プレートと前記上部電極との間の熱伝導を制御するように構成され、
前記温度制御モジュールは、前記加熱プレートの上部表面と前記冷却プレートの下部表面との間に配置され、前記加熱プレートの前記上部表面と前記冷却プレートの前記下部表面とに接触する少なくとも1つの導電性でかつ熱伝導性のサーマルチョークを備え、前記少なくとも1つのサーマルチョークは、前記加熱プレートと前記冷却プレートとの間の熱伝導を制御するように構成される、
ことを特徴とする温度制御モジュール。 - 前記加熱プレートは、前記加熱プレートの中に埋め込まれた加熱要素を有する金属の部片と、前記シャワーヘッド電極アセンブリのバッキング部材のガス分配プレナムとガス供給源とに流体連通するようになされたガス分配通路とを含み、前記加熱要素は、前記加熱要素に電力を供給するように動作可能な少なくとも1つの電源に接続されるように構成され、
前記冷却プレートは、前記冷却プレートの中に形成された液体チャネルを有する金属の部片を含み、前記液体チャネルは、前記冷却プレートの温度を制御するために前記液体チャネルに供給される温度制御された液体の少なくとも1つの供給源と流体連通するように構成される、
ことを特徴とする請求項1に記載の温度制御モジュール。 - 前記冷却プレートは、前記上部プレートの前記下部表面に半径方向に離間し同心で配置された複数の第1の溝を含み、前記第1の溝の各々は第1の高さを有し、
前記少なくとも1つのサーマルチョークは、複数のサーマルチョークを含み、各サーマルチョークは、前記冷却プレートのそれぞれの第1の溝に配置されたリングであり、前記リングは、互いに対して同心で配置され、各リングは、前記リングが前記冷却プレートを前記加熱プレート上に支持するように前記サーマルチョークが配置される前記それぞれの第1の溝の前記第1の高さよりも大きい第2の高さを有し、前記冷却プレートの前記下部表面と前記加熱プレートの前記上部表面との間に間隙が画定され、前記リングを介して前記加熱プレートと前記冷却プレートとの間で熱が伝導される、
ことを特徴とする請求項1に記載の温度制御モジュール。 - 前記複数のリングは、少なくとも1つの第1のリングと第2のリングとを含み、各第1のリングは、複数の貫通開口を含み、前記第2のリングは、非多孔質であって前記少なくとも1つの第1のリングを取り囲み、前記温度制御モジュールの外側表面を形成する、ことを特徴とする請求項3に記載の温度制御モジュール。
- 前記複数のリングは、少なくとも1つの第1のリングと第2のリングとを含み、各第1のリングは、焼結された多孔質の金属物体であり、前記第2のリングは、非多孔質であって前記少なくとも1つの第1のリングを取り囲み、前記温度制御モジュールの外側表面を形成する、ことを特徴とする請求項3に記載の温度制御モジュール。
- 前記冷却プレートの前記下部表面は、平坦であり、
前記加熱プレートの前記上部表面は、平坦であり、
前記少なくとも1つのサーマルチョークは、柔軟で熱伝導性かつ導電性の材料から構成されたプレートを含み、前記サーマルチョークプレートは、前記冷却プレートの前記下部表面および前記加熱プレートの前記上部表面にそれぞれ固定される平坦な向かい合う表面を有し、前記サーマルチョークプレートは、前記加熱プレートと前記冷却プレートとの間の熱伝導に対する熱抵抗をもたらす多孔質構造を有する、
ことを特徴とする請求項1に記載の温度制御モジュール。 - 前記冷却プレート、前記少なくとも1つのサーマルチョークおよび前記加熱プレートは、位置合わせされた開口を含み、
前記冷却プレート、前記少なくとも1つのサーマルチョークおよび前記加熱プレートを互いに固定するために、ねじ型締結具が、前記位置合わせされた開口の各々に受け入れられ、各ねじ型締結具は、前記加熱プレートの熱サイクルに起因する前記締結具の緩みが生じにくいようになされたワッシャセットを含む、
ことを特徴とする請求項1に記載の温度制御モジュール。 - プラズマ処理チャンバ用のシャワーヘッド電極アセンブリであって、
前記シャワーヘッド電極アセンブリは、前記プラズマ処理チャンバの上部壁を形成する上部プレートを備え、
前記シャワーヘッド電極アセンブリは、上部表面およびプラズマに曝される下部表面を含む上部電極を備え、
前記シャワーヘッド電極アセンブリは、温度制御モジュールを備え、
前記温度制御モジュールは、前記上部電極の前記上部表面に固定される下部表面を有する加熱プレートを備え、前記加熱プレートは、前記上部電極の温度を制御するために前記上部電極に熱を供給するように構成された少なくとも1つのヒータを含み、
前記温度制御モジュールは、前記上部プレートの下部表面に固定され、前記上部プレートの前記下部表面から熱的に分離された上部表面を有する冷却プレートを備え、前記冷却プレートは、前記加熱プレートの温度を制御し、前記加熱プレートと前記上部電極との間の熱伝導を制御するように構成され、
前記温度制御モジュールは、前記加熱プレートの上部表面と前記冷却プレートの下部表面との間に配置され、前記加熱プレートの前記上部表面と前記冷却プレートの前記下部表面とに熱接触する少なくとも1つの導電性かつ熱伝導性のサーマルチョークを備え、前記少なくとも1つのサーマルチョークは、前記加熱プレートと前記冷却プレートとの間の熱伝導を制御するように構成される、
ことを特徴とするシャワーヘッド電極アセンブリ。 - 前記加熱プレートは、ガス供給源と流体連通する半径方向のガス分配通路を含み、
前記上部電極は、複数の第1のガス通路を含む内側電極部材を備え、
前記上部電極は、前記内側電極部材に固定され、前記内側電極部材を取り囲む外側電極部材を備え、前記外側電極部材は、複数の第2のガス通路を含み、
前記上部電極は、前記内側電極部材の上部表面に固定されるバッキングプレートを備え、前記バッキングプレートは、前記半径方向のガス分配通路と流体連通する半径方向に離間した複数のプレナムと、前記第1のガス通路のそれぞれと流体連通する第3のガス通路とを含み、
前記上部電極は、前記バッキングプレートを取り囲むバッキングリングを備え、前記バッキングリングは、前記半径方向のガス分配通路と流体連通する複数の第4のガス通路を含む、
ことを特徴とする請求項8に記載のシャワーヘッド電極アセンブリ。 - 前記内側電極部材は、前記バッキングプレート、前記外側電極部材および前記バッキングリングに結合される表面を含み、
前記外側電極部材は、前記内側電極部材および前記バッキングリングに結合される表面を含み、
前記バッキングプレートは、前記内側電極部材および前記バッキングリングに結合される表面を含み、
前記バッキングリングは、前記バッキングプレート、前記外側電極部材および前記内側電極部材に結合される表面を含む、
ことを特徴とする請求項9に記載のシャワーヘッド電極アセンブリ。 - 前記外側電極部材は、前記内側電極部材のプラズマに曝される下部表面から鈍角で外に向かって延びるステップを含む、ことを特徴とする請求項9に記載のシャワーヘッド電極アセンブリ。
- 前記内側電極部材は、前記内側電極部材のプラズマに曝される下部表面から鈍角で外に向かって延びるステップを含む、ことを特徴とする請求項9に記載のシャワーヘッド電極アセンブリ。
- 前記加熱プレートは、前記加熱プレートの中に埋め込まれた加熱要素を有する金属の部片を含み、前記加熱要素は、前記加熱要素に電力を供給するように動作可能な少なくとも1つの電源に接続され、かつ、
前記冷却プレートは、前記冷却プレートの中に形成された液体チャネルを有する金属の部片を含み、前記液体チャネルは、前記冷却プレートの温度を制御するために前記液体チャネルに供給される温度制御された液体の少なくとも1つの供給源と流体連通するか、または、
前記加熱プレートは、少なくとも1つの内側加熱要素を有する内側加熱プレートと、少なくとも1つの外側加熱要素を有する外側加熱プレートとを含み、前記内側および外側加熱要素に電力を供給し、前記内側および外側電極部材の温度を制御するように動作可能な別個の電源に前記内側および外側加熱要素が接続される、
ことを特徴とする請求項9に記載のシャワーヘッド電極アセンブリ。 - 前記冷却プレートは、下部表面と、前記下部表面に半径方向に離間し同心で配置された複数の第1の溝とを含み、前記第1の溝の各々は第1の高さを有し、
前記少なくとも1つのサーマルチョークは、複数のサーマルチョークを含み、各サーマルチョークは、前記冷却プレートのそれぞれの第1の溝に配置されたリングであり、前記リングの各々は、前記リングが前記冷却プレートを前記加熱プレート上に支持するように、前記サーマルチョークが配置される前記それぞれの第1の溝の前記第1の高さよりも大きい第2の高さを有し、前記冷却プレートの前記下部表面と前記加熱プレートの前記上部表面との間に間隙が画定され、前記リングによって前記加熱プレートと前記冷却プレートとの間で熱が伝導される、
ことを特徴とする請求項9に記載のシャワーヘッド電極アセンブリ。 - 前記複数のリングは、少なくとも1つの第1のリングと第2のリングとを含み、各第1のリングは、複数の貫通開口を有するか、または焼結された多孔質の金属物体であり、前記第2のリングは、非多孔質で前記少なくとも1つの第1のリングを取り囲み、前記温度制御モジュールの外側表面を形成する、ことを特徴とする請求項14に記載のシャワーヘッド電極アセンブリ。
- 前記冷却プレートの前記下部表面は、平坦であり、
前記加熱プレートの前記上部表面は、平坦であり、
前記少なくとも1つのサーマルチョークは、柔軟で熱伝導性かつ導電性の材料から構成されたプレートを含み、前記プレートは、前記冷却プレートの前記下部表面および前記加熱プレートの前記上部表面にそれぞれ固定される平坦な向かい合う表面を有し、前記サーマルチョークプレートは、前記加熱プレートから前記冷却プレートへの熱伝導に対する熱抵抗をもたらすようになされた多孔質構造を有する、
ことを特徴とする請求項8に記載のシャワーヘッド電極アセンブリ。 - 前記冷却プレート、前記少なくとも1つのサーマルチョークおよび前記加熱プレートは、位置合わせされた開口を含み、
前記冷却プレート、前記少なくとも1つのサーマルチョークおよび前記加熱プレートを互いに固定するために、ねじ型締結具が、前記位置合わせされた開口の各々に受け入れられ、各ねじ型締結具は、前記加熱プレートの熱サイクルに起因する前記締結具の緩みが生じにくいようになされたワッシャセットを含む、
ことを特徴とする請求項8に記載のシャワーヘッド電極アセンブリ。 - 前記上部プレートの前記下部表面は、半径方向に離間し同心で配置された第2の溝を含み、前記第2の溝の隣接するものが前記上部プレートの前記下部表面の環状突出部によって分離され、前記上部プレートは、前記環状突出部で前記冷却プレートの前記上部表面と接触する、ことを特徴とする請求項8に記載のシャワーヘッド電極アセンブリ。
- プラズマ処理チャンバのシャワーヘッド電極アセンブリの上部電極の温度を制御する方法であって、下部電極を有する基板支持体と、前記プラズマ処理チャンバの上部壁を形成する上部プレート、および、前記上部プレートと前記上部電極との間に配置され、前記上部プレートと前記上部電極とに固定される温度制御モジュールとを含み、
前記方法は、
前記プラズマ処理チャンバ内で、前記上部電極と前記基板支持体との間の間隙にプラズマを生成させる工程と、
前記上部電極を加熱するために少なくとも1つの電源からの電力を前記温度制御モジュールの加熱プレートの少なくとも1つのヒータに印加する工程と、
前記冷却プレートの温度を制御するために少なくとも1つの液体供給源から温度制御された液体を前記温度制御モジュールの冷却プレートの液体チャネルに供給する工程と、
(i)前記冷却プレートを前記上部プレートから熱的に分離することによって前記冷却プレートと前記上部プレートとの間の熱伝導、(ii)前記冷却プレートと前記加熱プレートとの間に配置された少なくとも1つのサーマルチョークにより前記冷却プレートと前記加熱プレートとの間の熱伝導、および(iii)前記加熱プレートの温度を制御することによって前記加熱プレートと前記上部電極との間の熱伝導を制御し、それによって前記上部電極を所望の温度に維持する工程と、
を含むことを特徴とする方法。 - 前記プラズマが生成されている場合および前記プラズマが生成されていない場合に、前記温度制御モジュールは、約40℃から約200℃の設定値の約±5℃内の温度に前記上部電極を維持し、
前記温度制御モジュールは、前記上部電極の半径方向の中心−縁部間の約±30℃の最大温度勾配を維持する、
ことを特徴とする請求項19に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US96033107P | 2007-09-25 | 2007-09-25 | |
US60/960,331 | 2007-09-25 | ||
PCT/US2008/011052 WO2009042137A2 (en) | 2007-09-25 | 2008-09-24 | Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2010541239A true JP2010541239A (ja) | 2010-12-24 |
JP2010541239A5 JP2010541239A5 (ja) | 2011-10-13 |
JP5194125B2 JP5194125B2 (ja) | 2013-05-08 |
Family
ID=40472135
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010526926A Expired - Fee Related JP5194125B2 (ja) | 2007-09-25 | 2008-09-24 | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US8313610B2 (ja) |
JP (1) | JP5194125B2 (ja) |
KR (1) | KR101519684B1 (ja) |
CN (1) | CN101809717B (ja) |
TW (1) | TWI473538B (ja) |
WO (1) | WO2009042137A2 (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2013110440A (ja) * | 2013-03-11 | 2013-06-06 | Tokyo Electron Ltd | 電極ユニット及び基板処理装置 |
KR20150109463A (ko) * | 2013-01-25 | 2015-10-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드 |
JP2017533599A (ja) * | 2014-10-06 | 2017-11-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | サーマルリッドを有する原子層堆積チャンバ |
JP2017208401A (ja) * | 2016-05-17 | 2017-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
TWI618141B (zh) * | 2012-09-21 | 2018-03-11 | 應用材料股份有限公司 | 晶圓處理設備中的化學物質控制特徵 |
JP2019192728A (ja) * | 2018-04-23 | 2019-10-31 | 東京エレクトロン株式会社 | 温度制御方法 |
WO2022260042A1 (ja) * | 2021-06-07 | 2022-12-15 | 京セラ株式会社 | シャワープレート |
JP7518289B2 (ja) | 2020-09-22 | 2024-07-17 | アプライド マテリアルズ インコーポレイテッド | 再帰的ガスチャネルを備えるシャワーヘッドアセンブリ |
Families Citing this family (205)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI224815B (en) * | 2001-08-01 | 2004-12-01 | Tokyo Electron Ltd | Gas processing apparatus and gas processing method |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US8702866B2 (en) | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US8216418B2 (en) * | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
JP5194125B2 (ja) * | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
US8187414B2 (en) | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
JP5223377B2 (ja) * | 2008-02-29 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法 |
US8187413B2 (en) * | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
KR101004927B1 (ko) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
US8206506B2 (en) * | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US8221582B2 (en) * | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
TWI437622B (zh) * | 2008-11-26 | 2014-05-11 | Ind Tech Res Inst | 氣體噴灑模組 |
US8869741B2 (en) * | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US8402918B2 (en) * | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US8419959B2 (en) * | 2009-09-18 | 2013-04-16 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US9449859B2 (en) * | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
KR200464037Y1 (ko) * | 2009-10-13 | 2012-12-07 | 램 리써치 코포레이션 | 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극 |
US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8529729B2 (en) | 2010-06-07 | 2013-09-10 | Lam Research Corporation | Plasma processing chamber component having adaptive thermal conductor |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US8733280B2 (en) * | 2010-12-20 | 2014-05-27 | Intermolecular, Inc. | Showerhead for processing chamber |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
SG192967A1 (en) | 2011-03-04 | 2013-09-30 | Novellus Systems Inc | Hybrid ceramic showerhead |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
JP5712741B2 (ja) * | 2011-03-31 | 2015-05-07 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法及び記憶媒体 |
JP5762841B2 (ja) * | 2011-06-21 | 2015-08-12 | 東京エレクトロン株式会社 | 半導体製造装置 |
US20130008602A1 (en) * | 2011-07-07 | 2013-01-10 | Lam Research Ag | Apparatus for treating a wafer-shaped article |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
TWI568319B (zh) | 2011-10-05 | 2017-01-21 | 應用材料股份有限公司 | 電漿處理設備及其蓋組件(二) |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9263240B2 (en) * | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
WO2013078098A1 (en) * | 2011-11-23 | 2013-05-30 | Lam Research Corporation | Multi zone gas injection upper electrode system |
US8906248B2 (en) | 2011-12-13 | 2014-12-09 | Lam Research Corporation | Silicon on insulator etch |
US9224618B2 (en) * | 2012-01-17 | 2015-12-29 | Lam Research Corporation | Method to increase mask selectivity in ultra-high aspect ratio etches |
US9267205B1 (en) * | 2012-05-30 | 2016-02-23 | Alta Devices, Inc. | Fastener system for supporting a liner plate in a gas showerhead reactor |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
JP2015536043A (ja) * | 2012-09-26 | 2015-12-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板処理システムにおける温度制御 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9314854B2 (en) | 2013-01-30 | 2016-04-19 | Lam Research Corporation | Ductile mode drilling methods for brittle components of plasma processing apparatuses |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US8893702B2 (en) | 2013-02-20 | 2014-11-25 | Lam Research Corporation | Ductile mode machining methods for hard and brittle components of plasma processing apparatuses |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
JP6169040B2 (ja) * | 2014-05-12 | 2017-07-26 | 東京エレクトロン株式会社 | プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9595424B2 (en) * | 2015-03-02 | 2017-03-14 | Lam Research Corporation | Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR102452722B1 (ko) * | 2015-08-27 | 2022-10-06 | 삼성전자주식회사 | 기판 처리 장치 |
JP6606403B2 (ja) * | 2015-11-05 | 2019-11-13 | 株式会社ニューフレアテクノロジー | シャワープレート、気相成長装置および気相成長方法 |
KR20170073757A (ko) * | 2015-12-18 | 2017-06-29 | 삼성전자주식회사 | 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치 |
CN106922071B (zh) * | 2015-12-25 | 2019-10-01 | 中微半导体设备(上海)股份有限公司 | 一种用于等离子反应装置的喷淋头加热冷却装置及方法 |
US20170211185A1 (en) * | 2016-01-22 | 2017-07-27 | Applied Materials, Inc. | Ceramic showerhead with embedded conductive layers |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10403476B2 (en) * | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10571337B2 (en) | 2017-05-26 | 2020-02-25 | Applied Materials, Inc. | Thermal cooling member with low temperature control |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
JP6858656B2 (ja) * | 2017-06-26 | 2021-04-14 | 東京エレクトロン株式会社 | 給電部材及び基板処理装置 |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP2020147795A (ja) * | 2019-03-13 | 2020-09-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10553403B1 (en) * | 2019-05-08 | 2020-02-04 | Mks Instruments, Inc. | Polygonal toroidal plasma source |
CN112802729B (zh) * | 2019-11-13 | 2024-05-10 | 中微半导体设备(上海)股份有限公司 | 带温度维持装置的隔离环 |
US20210238746A1 (en) * | 2020-02-03 | 2021-08-05 | Applied Materials, Inc. | Showerhead assembly |
US20210287881A1 (en) * | 2020-03-12 | 2021-09-16 | Applied Materials, Inc. | Methods and apparatus for tuning semiconductor processes |
US11204206B2 (en) | 2020-05-18 | 2021-12-21 | Envertic Thermal Systems, Llc | Thermal switch |
CN113804046B (zh) * | 2020-06-15 | 2023-10-13 | 拓荆科技股份有限公司 | 一种低成本的主动控温喷淋头 |
US11242600B2 (en) * | 2020-06-17 | 2022-02-08 | Applied Materials, Inc. | High temperature face plate for deposition application |
CN113136568B (zh) * | 2021-04-07 | 2022-10-11 | 拓荆科技股份有限公司 | 一种节能型主动控温喷淋头 |
US12074010B2 (en) * | 2021-09-09 | 2024-08-27 | Applied Materials, Inc. | Atomic layer deposition part coating chamber |
CN115896745A (zh) * | 2021-11-24 | 2023-04-04 | 无锡先为科技有限公司 | 成膜装置 |
CN114975178B (zh) * | 2022-05-18 | 2024-04-05 | 江苏微导纳米科技股份有限公司 | 温度控制组件、半导体处理腔室及半导体处理设备 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005111267A2 (en) * | 2004-04-30 | 2005-11-24 | Lam Research Corporation | Gas distribution member supplying process gas and rf power for plasma processing |
US20070137573A1 (en) * | 2005-12-21 | 2007-06-21 | Arnold Kholodenko | Apparatus for an optimized plasma chamber grounded electrode assembly |
JP2007522647A (ja) * | 2003-12-23 | 2007-08-09 | ラム リサーチ コーポレーション | プラズマ処理装置用のシャワーヘッド電極アセンブリ |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3459925A (en) * | 1965-10-21 | 1969-08-05 | Atomic Energy Commission | High speed temperature monitor |
US4114442A (en) * | 1976-09-03 | 1978-09-19 | Avicon Development Group | Temperature monitoring system |
US4165642A (en) * | 1978-03-22 | 1979-08-28 | Lipp Robert J | Monolithic CMOS digital temperature measurement circuit |
JPS57148221A (en) * | 1981-03-10 | 1982-09-13 | Citizen Watch Co Ltd | Temperature detecting device |
US5319370A (en) * | 1992-08-31 | 1994-06-07 | Crystal Semiconductor, Inc. | Analog-to-digital converter with a continuously calibrated voltage reference |
US5345236A (en) * | 1992-12-21 | 1994-09-06 | Harris Corporation | Improved sigma-delta type analog-to-digital converter and method |
US5604467A (en) * | 1993-02-11 | 1997-02-18 | Benchmarg Microelectronics | Temperature compensated current source operable to drive a current controlled oscillator |
US5408235A (en) * | 1994-03-07 | 1995-04-18 | Intel Corporation | Second order Sigma-Delta based analog to digital converter having superior analog components and having a programmable comb filter coupled to the digital signal processor |
US5617090A (en) * | 1995-05-10 | 1997-04-01 | Harris Corporation | Multi-channel sigma-delta A/D converters with improved throughput |
US5882411A (en) | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US6189482B1 (en) | 1997-02-12 | 2001-02-20 | Applied Materials, Inc. | High temperature, high flow rate chemical vapor deposition apparatus and related methods |
US5867054A (en) * | 1997-07-31 | 1999-02-02 | National Semiconductor Corporation | Current sensing circuit |
US6242974B1 (en) * | 1998-03-25 | 2001-06-05 | Micrel,Inc | Self-calibrating operational amplifier |
US6019060A (en) | 1998-06-24 | 2000-02-01 | Lam Research Corporation | Cam-based arrangement for positioning confinement rings in a plasma processing chamber |
US6073577A (en) | 1998-06-30 | 2000-06-13 | Lam Research Corporation | Electrode for plasma processes and method for manufacture and use thereof |
US6243034B1 (en) * | 1998-10-29 | 2001-06-05 | National Instruments Corporation | Integrating analog to digital converter with improved resolution |
JP2002530763A (ja) * | 1998-11-12 | 2002-09-17 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 絶対温度に比例する基準電流を供給する定電流発生器 |
US6490146B2 (en) | 1999-05-07 | 2002-12-03 | Applied Materials Inc. | Electrostatic chuck bonded to base with a bond layer and method |
JP2001068538A (ja) * | 1999-06-21 | 2001-03-16 | Tokyo Electron Ltd | 電極構造、載置台構造、プラズマ処理装置及び処理装置 |
US6592709B1 (en) | 2000-04-05 | 2003-07-15 | Applied Materials Inc. | Method and apparatus for plasma processing |
TW200819555A (en) * | 2000-09-08 | 2008-05-01 | Tokyo Electron Ltd | Shower head structure, device and method for film formation, and method for cleaning |
US6492774B1 (en) * | 2000-10-04 | 2002-12-10 | Lam Research Corporation | Wafer area pressure control for plasma confinement |
US6310571B1 (en) * | 2001-03-30 | 2001-10-30 | Pixim, Incorporated | Multiplexed multi-channel bit serial analog-to-digital converter |
US6669783B2 (en) | 2001-06-28 | 2003-12-30 | Lam Research Corporation | High temperature electrostatic chuck |
US6984288B2 (en) | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
US6714886B2 (en) * | 2002-02-13 | 2004-03-30 | Eric C. Sung | System and method of DC calibration of amplifiers |
US6590372B1 (en) * | 2002-02-19 | 2003-07-08 | Texas Advanced Optoelectronic Solutions, Inc. | Method and integrated circuit for bandgap trimming |
EP1512164B1 (en) | 2002-05-23 | 2016-01-06 | Lam Research Corporation | Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode |
FR2845767B1 (fr) * | 2002-10-09 | 2005-12-09 | St Microelectronics Sa | Capteur numerique de temperature integre |
US6869216B1 (en) * | 2003-03-27 | 2005-03-22 | National Semiconductor Corporation | Digitizing temperature measurement system |
JP4493932B2 (ja) * | 2003-05-13 | 2010-06-30 | 東京エレクトロン株式会社 | 上部電極及びプラズマ処理装置 |
US7049987B2 (en) * | 2003-08-12 | 2006-05-23 | Siemens Building Technologies Ag | Arrangement for generating a clock signal for a sigma-delta analog-to-digital converter |
US20050279384A1 (en) | 2004-06-17 | 2005-12-22 | Guidotti Emmanuel P | Method and processing system for controlling a chamber cleaning process |
KR20060085358A (ko) * | 2005-01-24 | 2006-07-27 | 삼성전자주식회사 | 막 형성 장치 |
US7430986B2 (en) | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US7713379B2 (en) | 2005-06-20 | 2010-05-11 | Lam Research Corporation | Plasma confinement rings including RF absorbing material for reducing polymer deposition |
US8043430B2 (en) * | 2006-12-20 | 2011-10-25 | Lam Research Corporation | Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber |
JP5194125B2 (ja) * | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
-
2008
- 2008-09-24 JP JP2010526926A patent/JP5194125B2/ja not_active Expired - Fee Related
- 2008-09-24 CN CN2008801093025A patent/CN101809717B/zh not_active Expired - Fee Related
- 2008-09-24 US US12/232,809 patent/US8313610B2/en not_active Expired - Fee Related
- 2008-09-24 KR KR1020107009016A patent/KR101519684B1/ko active IP Right Grant
- 2008-09-24 WO PCT/US2008/011052 patent/WO2009042137A2/en active Application Filing
- 2008-09-25 TW TW97136827A patent/TWI473538B/zh not_active IP Right Cessation
-
2012
- 2012-11-07 US US13/671,255 patent/US20130126518A1/en not_active Abandoned
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007522647A (ja) * | 2003-12-23 | 2007-08-09 | ラム リサーチ コーポレーション | プラズマ処理装置用のシャワーヘッド電極アセンブリ |
WO2005111267A2 (en) * | 2004-04-30 | 2005-11-24 | Lam Research Corporation | Gas distribution member supplying process gas and rf power for plasma processing |
US20070137573A1 (en) * | 2005-12-21 | 2007-06-21 | Arnold Kholodenko | Apparatus for an optimized plasma chamber grounded electrode assembly |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI618141B (zh) * | 2012-09-21 | 2018-03-11 | 應用材料股份有限公司 | 晶圓處理設備中的化學物質控制特徵 |
KR102073941B1 (ko) * | 2013-01-25 | 2020-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드 |
JP2016511935A (ja) * | 2013-01-25 | 2016-04-21 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 取り外し可能なガス分配プレートを有するシャワーヘッド |
KR20150109463A (ko) * | 2013-01-25 | 2015-10-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드 |
JP2018049830A (ja) * | 2013-01-25 | 2018-03-29 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 取り外し可能なガス分配プレートを有するシャワーヘッド |
KR20200013121A (ko) * | 2013-01-25 | 2020-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드 |
KR102196995B1 (ko) * | 2013-01-25 | 2020-12-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드 |
JP2013110440A (ja) * | 2013-03-11 | 2013-06-06 | Tokyo Electron Ltd | 電極ユニット及び基板処理装置 |
JP2017533599A (ja) * | 2014-10-06 | 2017-11-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | サーマルリッドを有する原子層堆積チャンバ |
JP2017208401A (ja) * | 2016-05-17 | 2017-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
JP2019192728A (ja) * | 2018-04-23 | 2019-10-31 | 東京エレクトロン株式会社 | 温度制御方法 |
JP7518289B2 (ja) | 2020-09-22 | 2024-07-17 | アプライド マテリアルズ インコーポレイテッド | 再帰的ガスチャネルを備えるシャワーヘッドアセンブリ |
WO2022260042A1 (ja) * | 2021-06-07 | 2022-12-15 | 京セラ株式会社 | シャワープレート |
Also Published As
Publication number | Publication date |
---|---|
KR101519684B1 (ko) | 2015-05-12 |
WO2009042137A2 (en) | 2009-04-02 |
TWI473538B (zh) | 2015-02-11 |
KR20100075957A (ko) | 2010-07-05 |
US8313610B2 (en) | 2012-11-20 |
TW200922388A (en) | 2009-05-16 |
US20130126518A1 (en) | 2013-05-23 |
CN101809717A (zh) | 2010-08-18 |
WO2009042137A3 (en) | 2009-06-04 |
US20090081878A1 (en) | 2009-03-26 |
CN101809717B (zh) | 2012-10-10 |
JP5194125B2 (ja) | 2013-05-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5194125B2 (ja) | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 | |
US7645341B2 (en) | Showerhead electrode assembly for plasma processing apparatuses | |
US8216486B2 (en) | Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body | |
JP5346018B2 (ja) | プラズマ処理装置用のシャワーヘッド電極アセンブリ | |
US9681497B2 (en) | Multi zone heating and cooling ESC for plasma process chamber | |
TWI358785B (ja) | ||
US20040187787A1 (en) | Substrate support having temperature controlled substrate support surface | |
US8975817B2 (en) | Pressure controlled heat pipe temperature control plate | |
JP2007535816A (ja) | プロセスガス及び高周波電力を供給するガス分配部材を含むプラズマ処理用機器 | |
JP2007525833A (ja) | 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法 | |
US20130299605A1 (en) | Compression member for use in showerhead electrode assembly | |
JP6520160B2 (ja) | 静電チャック装置 | |
KR20090019788A (ko) | 가열장치 | |
KR101704692B1 (ko) | 히터 어셈블리 | |
WO2022209292A1 (ja) | 載置盤および載置構造 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110823 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20110823 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120830 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120907 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121116 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130108 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130204 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5194125 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20160208 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |