JP2007525833A - 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法 - Google Patents

流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法 Download PDF

Info

Publication number
JP2007525833A
JP2007525833A JP2006551054A JP2006551054A JP2007525833A JP 2007525833 A JP2007525833 A JP 2007525833A JP 2006551054 A JP2006551054 A JP 2006551054A JP 2006551054 A JP2006551054 A JP 2006551054A JP 2007525833 A JP2007525833 A JP 2007525833A
Authority
JP
Japan
Prior art keywords
substrate holder
heating element
cooling
holder according
cooling element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006551054A
Other languages
English (en)
Other versions
JP4833859B2 (ja
Inventor
ハメリン、トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007525833A publication Critical patent/JP2007525833A/ja
Application granted granted Critical
Publication of JP4833859B2 publication Critical patent/JP4833859B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

基板を支持するための基盤ホルダ。加熱要素が、支持面に隣接し、この支持面と冷却要素との間に位置している。流体用ギャップが、この冷却要素と加熱要素との間に位置している。この流体用ギャップは、冷却要素と加熱要素との間の熱伝導を増加させるように、流体を受容するように構成されている。ろう付け用素材が、冷却要素と加熱要素との間に配置されており、このろう付け用素材は、流体用ギャップに隣接して配置されている。

Description

本発明は、一般に、半導体プロセスシステムに関し、特に、流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法とに関する。
多くのプロセス(例えば、化学的なプロセス、プラズマに誘起されたプロセス、エッチング、及び堆積)は、基板(ウェハとも称される)の瞬時の温度に著しく依存する。この結果、基板の温度を制御できることは、半導体プロセスシステムの本質的な特性である。さらに、同じ真空チャンバの中での異なる条件を必要とする様々なプロセスを迅速に適用するには(重要な場合の中には、周期的に適用されるものもある)、基板温度の迅速に変化させ制御できることが必要とされる。基板温度を制御する1つの方法は、基板ホルダ(チャックとも称される)を加熱又は冷却することによる。基板ホルダをより速く加熱又は冷却することを達成する複数の方法が、従来、提案され、適用されてきたが、現存する複数の方法のいずれによっても、ますます大きくなる産業からの要求を満足させるように、十分に迅速に温度制御されていない。
例えば、チャックの中の複数の通路の中を流れる液体は、現存するシステムにおいて、基板を冷却するための1つの方法である。しかしながら、液体の温度は、チラーにより制御されており、このチラーは、通常、このチャック組立体から離れた位置に位置している。これは、チラーのノイズとサイズとのためでもある。このチラー装置は、また、冷却液体がかなりの容積を有し、加熱力及び冷却能が限られているため、温度を迅速に変化させる機能が限られている。さらに、チャックブロックのサイズと材料とに依存して、チャックが所望の温度設定に到達するのに、さらに時間の遅れがある。これらの要素により、基板をどのくらい迅速に所望の温度に加熱させ、又は冷却させることができるのかが限定されている。
基板の加熱に影響を与えるように、基板ホルダの中に埋め込まれた電気ヒータを用いることを含む、他の複数の方法も提案され、用いられてきた。この埋め込まれたヒータにより、基板ホルダの温度は、上昇されるが、基板ホルダの冷却は、依然として、チラーにより制御される冷却液体に依存している。また、この埋め込まれたヒータと直接接触しているチャックの素材が、恒久的に損傷され得るために、この埋め込まれたヒータに印加することができる電力の量は限られている。基板ホルダの上面の温度が一様であることも、本質的な要素であり、加熱速度をさらに制限している。これらの要素の全てにより、基板のどのくらい迅速に基板の温度変化を達成できるかが制限されている。
さらに、基板ホルダを製造するために、埋め込まれたヒータと冷却用流体用通路組立体とは、満足のいく強度の接続が得られるように、互いに取り付けられているべきである。しかしながら、取り付けの方法により、基板ホルダの温度を迅速に変化させる能力が、望ましくない干渉を受けるべきではない。
本発明の1つの目的は、基板プロセス装置の技術における、上述の並びに/もしくは他の複数の問題を解決もしくは低減することである。
本発明の他の目的は、十分な強度を与えるが、基板ホルダの温度を迅速に変化させる能力に満足できない妨害を与えないように、互いに取り付けられているヒータと冷却通路集合体とを有する基板ホルダを提供することである。
本発明のこれらの並びに/もしくは他の複数の目的は、支持面に隣接し、この支持面と冷却要素との間に位置している加熱要素を有することができる、基板を支持するための基板ホルダにより提供されることができる。流体用ギャップが、この冷却要素と加熱要素との間に位置することができ、この流体用ギャップは、冷却要素と加熱要素との間の熱的な状態を制御する流体を受容するように構成されている。ろう付け用素材を、冷却要素と加熱要素との間にこれらの要素を結合するように、配置することができ、このろう付け用素材は、前記流体用ギャップに隣接して配置されている。
本発明は、さらに、ろう付け用素材が流体用ギャップの中へと流れ込むのを防止するための手段を有することができる基板ホルダを提供する。
本発明の好ましい実施の形態において、前記手段は、溝を有することができる。
本発明は、さらに、加熱要素と冷却要素との間の熱伝導を制御するように流体を受容するように構成されている流体用ギャップを設けることと、これら加熱要素と冷却要素との間に、前記流体用ギャップに隣接させてろう付け用素材を挿入することとを有する、加熱要素と冷却要素とを有する基板ホルダを製造するための方法を提供する。
ここで、次に、図面を参照して、本発明の複数の実施の形態が説明される。図面では、同一の参照符号の指示により、同一の又は対応する部分が、複数の図を通して特定されている。
図1は、半導体プロセスシステム1を示している。この半導体プロセスシステムを、例えば、化学並びに/もしくはプラズマプロセスのために用いることができる。このプロセスシステム1は、真空プロセスチャンバ10、支持面22を備えた基板ホルダ20、及びこの基板ホルダ20により支持されている基板30を有している。このプロセスシステム1は、また、プロセスチャンバ10の中に減圧雰囲気を与えるためのポンプシステム40、電源130により電圧を印加される、埋め込まれた電気加熱要素50、及び冷却通路集合体120により制御される液体が流れるための複数の通路を備えた、埋め込まれた冷却要素60を有する。流体用ギャップ90が、これら加熱要素50と冷却要素60との間に設けられている。流体供給ユニット140が、導管98を介してこの流体用ギャップ90に流体92を供給し、そしてこの流体用ギャップ90からこの流体92を取り除くように、設けられ、基板ホルダ20の加熱及び冷却を容易にしている。制限を意図しない例として、この流体は、ヘリウム(He)ガス、又は代わりに、流体用ギャップ90を横断する熱伝導率を迅速にそして著しく増減させることができる他のどんな流体であってもよい。
図2と図3とは、基板30に関しての基板ホルダ20の詳細を追加的に示している。これらの図に見られるように、ヘリウム裏面流80が、(図示されていない)He供給部から供給され、基板ホルダ20と基板30との間の熱伝導率を増加させている。熱伝導率が増加すると、加熱要素50に直接隣接している支持面22の迅速な温度制御により、基板30が迅速に温度制御されることが確実になる。この面22の複数の溝は、ウェハ裏面ガスを分布させるために用いられる。裏面流のために用いられる典型的な気体は、HeとArであるが、他の気体を用いてもよい。基板ホルダ20は、(図示されていない)静電クランプ電極と、対応する直流電源及び基板30を基板ホルダ20に静電クランプするのに必要とされる複数の接続部材とを有することができる。
図2、図3に示されているように、加熱要素50は、この加熱要素50と冷却要素60とが動作している間に、基板ホルダ20と、そして結果として基板30と、熱をやりとりするように適合されている本体部分52を有することができる。この本体部分52を、アルミニウム合金から製造することができ、機械加工又は鋳造により形成することができる。本発明の好ましい実施の形態において、この本体部分52は、鋳造アルミニウム合金から形成されている。
この本体部分52は、埋め込まれたヒータ54を有することができる。この埋め込まれたヒータ54は、1つ以上のヒータ領域を有することができ、これらは、別々に、電圧を印加されることができ、本体部分52の複数の部分を加熱し、熱を基板ホルダ20及び基板30に伝える。本発明の好ましい実施の形態においては、この本体部分52は、2つのヒータ領域を有しており、これらは、別々に電圧を印加され、加熱要素50の本体部分52の中へと鋳込まれている。その結果、加熱要素50は、本体部分52と埋め込まれたヒータ54とを有する単一の部品となっている。
本体部分52と埋め込まれたヒータ54とを有する好ましい加熱要素50の例は、約0.4mmの直径を有するインコネル合金の外部管を備えたプレートヒータ、及びミズーリ州のセントルイスのWatlow Electronic Manufacturing Co.から入手可能な、酸化マグネシウムを有する外部管から、絶縁されたニクロム合金ワイヤヒータである。
図2、図3に示されているように、冷却要素60は、この冷却要素60が動作している間に、基板ホルダ20から、そして結果として基板30から熱を移動させるように適合されている、上側キャップ62と下側キャップ64とを有することができる。
この上側キャップ62は、冷却通路集合体120により制御されて流れる液体を有するように配置されている複数の通路66を有することができ、この結果、冷却要素60と、結果として基板ホルダ20及び基板30とを冷却する。この上側キャップ62は、アルミニウム合金から製造されることができ、機械加工又は鋳造により形成されることができる。本発明の好ましい実施の形態において、この上側キャップ62は、鋳造アルミニウム合金であり、加熱要素50の本体部分52と同じ鋳造アルミニウム合金であるとさらに好ましい。
冷却要素60の下側キャップ64は、上側キャップ62の複数の通路66と閉じられた容積を規定している平面を有することができ、冷却要素60からの液体の望ましくない漏れを防止している。この下側キャップ64は、アルミニウム合金から製造されることができ、並びに/もしくは、鋳造により形成されることができる。このように、本発明の好ましい実施の形態において、この下側キャップ64は、鋳造アルミニウム合金であり、冷却要素60の上側キャップ62と同じ鋳造アルミニウム合金であればより好ましく、加熱要素50の本体部分52と同じ鋳造合金であればこの上さらに好ましい。
図は、上側キャップ62の中に全体が配置されている複数の通路66を有している冷却要素60のいくつかの好ましい実施の形態を示しているが、これら複数の通路66は、上側キャップ62と底部キャップ64とのいずれか一方又は両方に配置されることができることを理解されたい。
図2と図3とに示されているように、流体用ギャップ90が、冷却部分60の上側内面と加熱部分50の下側内面との間に設けられることができ、この結果、この流体用ギャップを横断する熱伝導(heat conductance)は最小化される一方で、これらの面を互いに非常に近接させている(すなわち、数ミクロンの範囲内に。好ましくは、ほぼ50ミクロン)。この構成で、流体用ギャップ90を横断する熱伝導は、流体92を導入し排出することにより、制御可能な方法で、オーダにして2つの大きさかそれより大きく変化させられることができる。
流体用ギャップ90の詳細は、本出願人の同時係属中の出願No.10/670,292(代理人明細書No.237539US6YA)の中で議論されており、上記出願の開示は、参考によりその全体がここに組み込まれている。同時係属中の出願の中で議論されているように、この流体用ギャップ90は、単一の領域(single-zone)のシステムを規定することができ、図に示されているように、2つの領域のシステムを規定することができる。この2つの領域のシステムでは、第1の領域94aは、複数の内側の溝と複数の内側のポート(図示されていない)を有し、また、これらによって形成され、第2の領域94bは、複数の外側の溝と複数の外側のポート(図示されていない)を有し、また、これらによって形成されている。この2つの領域のシステムにおいては、前記複数の内側の溝が、基板ホルダ20の第1の領域94aにおける圧力、熱伝導、並びに/もしくは温度を規定し、一方、前記外側の複数の溝が、この基板ホルダ20の第2の領域94bにおけるこれらの状態を規定している。単一の領域のシステム又は2つの領域のシステムにおけるこれらの溝のいずれも、加熱要素50と冷却要素60との中に、特に、加熱要素50の本体部分52と冷却要素60の上側キャップ62との中に、全体が又は一部分が配置されることができる。
基板ホルダ20の複数の構成要素の間の接続の詳細は、以下で議論される。
図1乃至3に示されているシステムは、例として示されているだけであり、また、他の部材が加えられることができることを理解されたい。例えば、プロセスシステム1は、RF電源及びRF電力供給部、ウェハを位置させ取り除くための複数のピン、熱センサ、及びこの技術分野で知られているいかなる他の部材も有することができる。このプロセスシステム1は、また、真空チャンバ10に入っていくプロセスガスの複数のラインと、この真空チャンバ10の中のガスをプラズマへと励起するための、(誘導的に結合されているタイプのシステムに対する)第2の電極あるいは(誘導的に結合されているタイプのシステムに対する)RFコイルとを有することができる。本発明は、また、ウェハの高速熱処理(rapid thermal processing)のような、ノンプラズマのプロセスにも適用可能である。
本発明の様々な実施の形態は、以下のように操作されることができる。加熱の段階では、加熱要素50に電圧が印加され、一方、流体92は、流体用ギャップ90から10Torr近傍の低圧へと排出される。このように、この流体用ギャップ90を横断する熱伝導は、著しく減少し、この結果、流体用ギャップ90は、熱障壁として作用する。すなわち、この排出の工程により、冷却要素60を直接囲んでいる基板ホルダの部分が、加熱要素50を直接囲んでいる基板ホルダの部分から、効果的に分離される。こうして、加熱要素50により加熱される基板ホルダ20の大部分は、この加熱要素50の直接上方にありこの加熱要素50を囲んでいる部分のみに、効果的に削減され、支持面22とウェハ30とを迅速に加熱することが可能になる。この加熱要素50の代わりに、真空チャンバ10の中で生成されるプラズマからの熱流速のような外部の熱流速により加熱されることができる。
冷却段階では、この加熱要素50は、止められ、流体92が、流体供給ユニット140から流体用ギャップ90に供給され、冷却要素60が作動される。流体用ギャップが流体92に高い圧力、例えば大気圧(760Torr)、で満たされていると、流体用ギャップを横断する熱伝導は、著しく増加され、この結果、冷却要素60により支持面22とウェハ30とが迅速に冷却される。加熱要素50の本体部分52と、冷却要素60の上側キャップ62との間で接触していることにより、流体92が流体用ギャップ90から流出することが防止される。
本発明の様々な実施の形態は、組み立てられ、製造され、又はそうでなければ以下のように形成されることができる。上述のように、複数の通路66は、冷却要素60の上側キャップ62と下側キャップ64のいずれか又は両方に形成されることができる。この冷却要素60の上側キャップ62と下側キャップ64とは、互いに接続されることができ、その結果、これら通路66を閉じる。適当な材料で形成されている上側キャップ62と下側キャップ64とは、シートの形態であることができる、ろう付け用素材82を、上側キャップ62と下側キャップ64との面の間に挿入し、冷却要素60をこのろう付け用素材82の融点より高く、上側キャップ62と下側キャップ64との一方か両方の融点よりも低い温度に加熱することにより、互いにろう付けされることができる。こうして、本発明の好ましい実施の形態では、この実施の形態は、同一の鋳造アルミニウム合金で形成されている上側キャップ62と下側キャップ64とを含み、上側キャップ62は、下側キャップ64にろう付けされ、冷却要素60を形成することができる。
加熱要素50の本体部分52は、埋め込まれたヒータ54と共に鋳造されることができ、この結果、加熱要素50を形成する。
加熱要素50と冷却要素60、特に、加熱要素50の本体部分52と冷却要素60の上側キャップ62とは、互いに接続されることができる。上の背景技術の部分で説明されたように、加熱要素50と冷却要素60とは、満足のいく強度と熱伝導とを有する接続が得られるように、互いに取着されるべきである。本発明の一実施の形態に係われば、適当な材料で形成されている加熱要素50の本体部分52と冷却要素60の上側キャップ62とは、シートの形態であることができる、ろう付け用素材84を加熱要素50と冷却要素60との間に挿入することにより、互いにろう付けされることができる。これら加熱要素50と冷却要素60とは、ろう付け用素材84の融点より高温に、そして、加熱要素50と冷却要素60との一方又は両方の融点よりも低温に、加熱されることができる。こうして、本発明の好ましい実施の形態においては、この実施の形態は、同一の鋳造アルミニウム合金で形成されている本体部分52と上側キャップ62とを含み、この本体部分52は、加熱要素50と冷却要素60とを接続するように、上側キャップ62にろう付けされることができ、この結果、少なくとも基板ホルダ20の一部分を形成する。
しかしながら、本発明者は、加熱要素50と冷却要素60とをろう付けする結果、ろう付け用素材84が、本体部分52と上側キャップ62との間の流体用ギャップ90の中へと流れ込み、この結果、流体92で満たされ、そして、流体92を排出するように構成されている流体用ギャップ90により与えられる有利な点が、失われてしまわれうることを認めている。ろう付け用素材84の流体用ギャップ90の中への望ましくない流れを減少させ、又は、防止するために、本体部分52並びに/もしくは上側キャップ62には、複数の溝70を設けられることができる。これら溝70は、これら溝を横断してろう付け用素材84が流れることを防止するように、サイズが取られ、形状が取られ、並びに/もしくは、向き付けられることができる。これら溝70は、複数の同心円の形態でよく、加熱要素50と冷却要素60とのいずれか又は両方に形成されることができる(すなわち、本体部分52並びに/もしくは上側キャップ62)。複数の平行な、並びに/もしくは同心状の溝も用いられることができる。
支持面22は、本体部分52と接続されることができる。好ましい実施の形態においては、この支持面22は、シリコンをベースとした接着剤のような接着剤により、本体部分52に機械的に接続されることができる。この接着剤により、接着された部品の微分的な(differential)熱膨張が可能とならなければならない。
基板ホルダ20は、ろう付けの後で、アニール、ハードな陽極酸化処理、酸化アルミニウム又はイットリアのセラミック溶射コーティングなどによるような処理が行なわれることができ、加熱要素50と冷却要素60とに、基板ホルダ20の1つ以上の構成要素をろう付けしている間に獲得された(compromised)複数の特性(例えば、残留応力を緩和するようにアニールする)を含む、所望の機械的特性、硬さ、プラズマに対する抵抗力(plasma-resistance)、又は他の所望の特性を与える。
本発明は、効率的な温度制御又は迅速な温度制御が重要な、様々なシステムに効果的に適用されることができる。このようなシステムは、プラズマプロセス、ノンプラズマプロセス、化学プロセス、エッチング、堆積、フィルム形成、又はアッシングを用いるシステムを含むが、これらに限定されない。本発明は、半導体ウェハ以外の標的物体、例えば、LCDガラス基板、のためのプラズマプロセス装置、又は同様の装置にも適用されることができる。さらに、本発明は、加熱要素50が、1つ以上の熱電(ペルティエ)素子、又は、中をフッ化された誘電性の流体、水、又は蒸気が、高温で流されている、1つ以上の冷却通路60に類似の通路を有する、複数の代わりの実施の形態において実施されることができる。また、冷却要素60は、1つ以上の熱電(ペルティエ)素子を有することができる。
当業者により、本発明は、その精神又は本質的な特性から逸脱することなく他の特定の形態で具現化されることができることが認められる。したがって、ここで開示されている実施の形態は、全ての観点から、実例とされ、限定されない。本発明の範囲は、上の記述よりもむしろ添付されている請求項により示されており、その意味と範囲との中の変化とこれらに等価なこととは、本発明の範囲の中に含まれることが意図されている。
本発明の例示的な実施の形態に係る半導体プロセス装置の概略図である。 図1の基板ホルダの断面図である。 図2の基板ホルダの一部の詳細図である。

Claims (47)

  1. 支持面と、
    冷却要素と、
    前記支持面に隣接し、この支持面と冷却要素との間に位置されている加熱要素と、
    前記冷却要素と加熱要素との間に位置されている流体用ギャップと
    この冷却要素と加熱要素との間に配置されているろう付け用素材とを具備し、
    前記流体用ギャップは、前記冷却要素と加熱要素との間の熱伝導を変化させるように流体を受容するように構成され、また、前記ろう付け用素材は、この流体用ギャップに隣接して配置されている、基板を支持するための基板ホルダ。
  2. 前記加熱要素は、本体部分と、この本体部分の中に埋め込まれているヒータとを有する請求項1に係る基板ホルダ。
  3. 前記本体部分は、アルミニウム合金からなり、前記埋め込まれているヒータは、このアルミニウム合金に鋳込まれている請求項2に係る基板ホルダ。
  4. 前記冷却要素は、上側キャップと下側キャップとを有する請求項1に係る基板ホルダ。
  5. 前記上側キャップは、冷却用流体を受容するように構成されている複数の通路を有する請求項4に係る基板ホルダ。
  6. 前記下側キャップは、前記上側キャップに隣接して位置されている平坦な上面を備えたプレートを有する請求項5に係る基板ホルダ。
  7. 前記上側キャップと下側キャップとの間に位置されている第2のろう付け用素材をさらに具備する請求項6に係る基板ホルダ。
  8. 前記支持面と加熱要素との間に位置されている機械的な接続部をさらに具備する請求項1に係る基板ホルダ。
  9. 前記機械的な接続部は、接着剤を有する請求項8に係る基板ホルダ。
  10. 前記流体用ギャップは、前記加熱要素と冷却要素とのうち少なくとも一方に、少なくとも1つの流体用ギャップの溝を有する請求項1に係る基板ホルダ。
  11. 前記流体用ギャップの溝は、前記冷却要素に配置されている請求項10に係る基板ホルダ。
  12. 前記流体用ギャップの溝は、前記加熱要素に配置されている請求項10に係る基板ホルダ。
  13. 前記冷却要素と加熱要素との間に位置されている少なくとも1つの分離用溝を更に具備し、この分離用溝は、前記ろう付け用素材が、前記流体用ギャップの中に流れ込むのを防止するように構成されている請求項1に係る基板ホルダ。
  14. 前記分離用溝の少なくとも一部は、前記冷却要素に配置されている請求項13に係る基板ホルダ。
  15. 前記分離用溝の少なくとも一部は、前記加熱要素に配置されている請求項13に係る基板ホルダ。
  16. 前記分離用溝の少なくとも一部は、前記冷却要素に配置されている請求項15に係る基板ホルダ。
  17. 少なくとも1つの分離用溝は、複数の分離用溝である請求項13に係る基板ホルダ。
  18. 前記複数の分離用溝は、同心状である請求項17に係る基板ホルダ。
  19. 前記加熱要素と冷却要素との間で、前記流体用ギャップの互いに対向する側に、位置されている第1及び第2の分離用溝をさらに具備し、これら分離用溝は、前記ろう付け用素材が前記流体用ギャップの中へと流れ込むのを防止するように構成されている請求項1に係る基板ホルダ。
  20. 前記複数の分離用溝は、前記加熱要素と冷却要素とのうち少なくとも一方に配置されている請求項18に係る基板ホルダ。
  21. 前記加熱要素と冷却要素とは、アルミニウム合金からなる請求項19に係る基板ホルダ。
  22. 前記加熱要素と冷却要素とは、同じアルミニウム合金からなる請求項19に係る基板ホルダ。
  23. 前記加熱要素は、本体部分と埋め込まれているヒータとを有し、この埋め込まれているヒータは、前記本体部分の中に鋳込まれている請求項21に係る基板ホルダ。
  24. 前記冷却要素は、上側キャップと下側キャップとを有し、前記加熱要素は、前記支持面と上側キャップとの間に位置されている請求項22に係る基板ホルダ。
  25. 前記上側キャップは、前記加熱要素と同じアルミニウム合金からなる請求項24に係る基板ホルダ。
  26. 支持面と、
    冷却要素と、
    前記支持面に隣接し、この支持面と冷却要素との間に位置されている加熱要素と、
    前記冷却要素と、加熱要素との間に位置されている流体用ギャップと、
    前記冷却要素と、加熱要素との間に配置されているろう付け用素材と
    このろう付け用素材が、接触領域に流れ込むのを防止するための手段とを具備し、前記流体ギャップは、前記冷却要素と加熱要素との間の熱伝導を変化させるように流体を受容するように構成され、前記ろう付け用素材は、この流体ギャップに隣接して配置されている、基板を支持するための基板ホルダ。
  27. 前記流れ込むのを防止するための手段は、溝を有する請求項26に係る基板ホルダ。
  28. 前記溝は、前記加熱要素と冷却要素とのうちの少なくとも一方に配置されている請求項27に係る基板ホルダ。
  29. 前記溝の少なくとも一部分は、前記冷却要素に配置されている請求項28に係る基板ホルダ。
  30. 前記溝の少なくとも一部分は、前記加熱要素に配置されている請求項28に係る基板ホルダ。
  31. 前記溝の少なくとも一部分は、前記冷却要素に配置されている請求項30に係る基板ホルダ。
  32. 加熱要素と冷却要素との間の熱伝導を変化させるように、流体を受容するように構成されている流体用ギャップを設けることと、
    前記加熱要素と冷却要素との間に、前記流体用ギャップに隣接させて、ろう付け用素材を挿入することとを具備する、加熱要素と冷却要素とを有する基板ホルダを製造する方法。
  33. 前記加熱要素と冷却要素とを前記ろう付け用素材でろう付けし、前記基板ホルダを形成することをさらに具備する請求項32に係る方法。
  34. 前記加熱要素と冷却要素との間に溝を配置することをさらに具備し、この溝は、前記ろう付け用素材が前記流体用ギャップの中へと流れ込むことを防止する請求項33に係る方法。
  35. 埋め込まれているヒータを本体部分に鋳込ませて前記加熱要素を形成することを更に具備する請求項32に係る方法。
  36. 前記本体部分は、アルミニウム合金からなり、前記埋め込まれているヒータは、このアルミニウム合金に鋳込まれている請求項35に係る方法。
  37. 上側キャップを下側キャップと接続させて前記冷却要素を形成することをさらに具備する請求項32に係る方法。
  38. 前記上側キャップは、冷却用流体を受容するように構成されている複数の通路を有する請求項37に係る方法。
  39. 前記下側キャップは、前記上側キャップに隣接して位置されている平坦な上面を備えているプレートを有する請求項37に係る方法。
  40. 前記上側キャップを前記下側キャップにろう付けすることをさらに具備する請求項39に係る方法。
  41. 支持面を前記加熱要素に機械的に接続することをさらに具備する請求項32に係る方法。
  42. 機械的に接続部は、接着剤を有する請求項41に係る方法。
  43. 前記加熱要素と冷却要素とは、アルミニウム合金からなる請求項32に係る方法。
  44. 前記加熱要素と冷却要素とは、同じアルミニウム合金からなる請求項32に係る方法。
  45. 支持面と、
    この支持面を冷却するための手段と、
    この支持面に隣接し、この支持面と冷却するための手段との間に位置されている、この支持面を冷却する手段と、
    前記冷却するための手段と加熱するための手段との間の熱伝導を変化させるように流体を受容するための手段と、
    前記冷却するための手段と加熱するための手段とを接続するための手段とを具備し、前記流体を受容するための手段は、前記冷却するための手段と加熱するための手段との間に位置されている、基板を支持するための基板ホルダ。
  46. 前記加熱するための手段は、熱電素子と、高温のフッ化された誘電性の液体、水、及び蒸気のうち少なくとも1つを流すように構成されている通路とのうち少なくとも一方を有する請求項45に係る基板ホルダ。
  47. 前記冷却するための手段は、少なくとも1つの熱電素子を有する請求項45に係る基板ホルダ。
JP2006551054A 2004-01-30 2004-12-23 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法 Expired - Fee Related JP4833859B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US54051904P 2004-01-30 2004-01-30
US60/540,519 2004-01-30
PCT/US2004/039780 WO2005074450A2 (en) 2004-01-30 2004-12-23 Substrate holder having a fluid gap and method of fabricating the substrate holder

Publications (2)

Publication Number Publication Date
JP2007525833A true JP2007525833A (ja) 2007-09-06
JP4833859B2 JP4833859B2 (ja) 2011-12-07

Family

ID=34837391

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006551054A Expired - Fee Related JP4833859B2 (ja) 2004-01-30 2004-12-23 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法

Country Status (6)

Country Link
US (1) US8007591B2 (ja)
JP (1) JP4833859B2 (ja)
KR (1) KR101118863B1 (ja)
CN (1) CN100452306C (ja)
TW (1) TWI257648B (ja)
WO (1) WO2005074450A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008227489A (ja) * 2007-03-12 2008-09-25 Asml Netherlands Bv リソグラフィ装置および方法
JP2020109848A (ja) * 2014-08-01 2020-07-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 独立した分離されたヒータ区域を有するウエハキャリア

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100370592C (zh) * 2005-12-08 2008-02-20 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
EP2342951B1 (en) * 2008-10-31 2019-03-06 Lam Research Corporation Lower electrode assembly of plasma processing chamber
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
US8551249B2 (en) * 2009-08-31 2013-10-08 E I Du Pont De Nemours And Company Film cassette for gaseous vapor deposition
US8534591B2 (en) * 2009-08-31 2013-09-17 E I Du Pont De Nemours And Company Apparatus and method for loading a film cassette for gaseous vapor deposition
US8524003B2 (en) * 2009-08-31 2013-09-03 E I Du Pont De Nemours And Company Loaded film cassette for gaseous vapor deposition
US20110047798A1 (en) * 2009-08-31 2011-03-03 Peter Francis Carcia Method for making a film cassette for gaseous vapor deposition
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN105551926B (zh) * 2015-12-11 2017-10-03 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11375320B2 (en) * 2018-08-30 2022-06-28 Purdue Research Foundation Thermoacoustic device and method of making the same
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR20210086712A (ko) * 2018-11-28 2021-07-08 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 증기 챔버를 포함하는 페데스탈
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP2022515489A (ja) * 2018-12-27 2022-02-18 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置内の粒子をその場で除去するための装置および方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172057A (ja) * 1995-12-20 1997-06-30 Souzou Kagaku:Kk 静電チャック
JPH11265931A (ja) * 1997-10-30 1999-09-28 Tokyo Electron Ltd 真空処理装置
JP2001347480A (ja) * 2000-06-07 2001-12-18 Toto Ltd 静電チャックユニット
JP2003282685A (ja) * 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3909917A (en) * 1973-08-09 1975-10-07 Viktor Fedorovich Lebedev Method of brazing refractory metals and compounds based thereon
JPS58182818A (ja) 1982-04-21 1983-10-25 Kokusai Electric Co Ltd 気相成長装置
JPH02263789A (ja) 1989-03-31 1990-10-26 Kanagawa Pref Gov ダイヤモンド単結晶膜を有するシリコン基板とその製造方法
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
JP3238427B2 (ja) 1991-07-25 2001-12-17 東京エレクトロン株式会社 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
US5323292A (en) 1992-10-06 1994-06-21 Hewlett-Packard Company Integrated multi-chip module having a conformal chip/heat exchanger interface
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US5810933A (en) 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JPH10256359A (ja) 1997-03-08 1998-09-25 Seiichiro Miyata 静電チャック
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP3911787B2 (ja) 1996-09-19 2007-05-09 株式会社日立製作所 試料処理装置及び試料処理方法
JP2954908B2 (ja) * 1997-09-30 1999-09-27 エスエムシー株式会社 基板の温度調整装置
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6180926B1 (en) 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
FR2792084A1 (fr) * 1999-04-12 2000-10-13 Joint Industrial Processors For Electronics Dispositif de chauffage et de refroidissement integre dans un reacteur de traitement thermique d'un substrat
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP2001110883A (ja) 1999-09-29 2001-04-20 Applied Materials Inc 基板支持装置及びその伝熱方法
JP2001110885A (ja) 1999-10-14 2001-04-20 Hitachi Ltd 半導体処理装置および半導体処理方法
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2002009064A (ja) * 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6508062B2 (en) * 2001-01-31 2003-01-21 Applied Materials, Inc. Thermal exchanger for a wafer chuck
JP3973853B2 (ja) * 2001-03-28 2007-09-12 大日本スクリーン製造株式会社 熱処理装置
JP2002327275A (ja) 2001-05-02 2002-11-15 Tokyo Electron Ltd 真空処理方法及び真空処理装置
JP4945031B2 (ja) 2001-05-02 2012-06-06 アプライド マテリアルズ インコーポレイテッド 基板加熱装置および半導体製造装置
JP2003179040A (ja) 2001-12-10 2003-06-27 Tokyo Electron Ltd 熱処理装置
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP3971296B2 (ja) * 2002-12-27 2007-09-05 Dowaホールディングス株式会社 金属−セラミックス接合基板およびその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172057A (ja) * 1995-12-20 1997-06-30 Souzou Kagaku:Kk 静電チャック
JPH11265931A (ja) * 1997-10-30 1999-09-28 Tokyo Electron Ltd 真空処理装置
JP2001347480A (ja) * 2000-06-07 2001-12-18 Toto Ltd 静電チャックユニット
JP2003282685A (ja) * 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008227489A (ja) * 2007-03-12 2008-09-25 Asml Netherlands Bv リソグラフィ装置および方法
JP4700076B2 (ja) * 2007-03-12 2011-06-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置および方法
JP2020109848A (ja) * 2014-08-01 2020-07-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 独立した分離されたヒータ区域を有するウエハキャリア
US11322337B2 (en) 2014-08-01 2022-05-03 Applied Materials, Inc. Plasma processing system workpiece carrier with thermally isolated heater plate blocks
JP7090115B2 (ja) 2014-08-01 2022-06-23 アプライド マテリアルズ インコーポレイテッド 独立した分離されたヒータ区域を有するウエハキャリア

Also Published As

Publication number Publication date
TWI257648B (en) 2006-07-01
US20070224777A1 (en) 2007-09-27
US8007591B2 (en) 2011-08-30
KR101118863B1 (ko) 2012-03-19
TW200534349A (en) 2005-10-16
WO2005074450A2 (en) 2005-08-18
WO2005074450A3 (en) 2006-02-16
KR20060127387A (ko) 2006-12-12
CN1890783A (zh) 2007-01-03
CN100452306C (zh) 2009-01-14
JP4833859B2 (ja) 2011-12-07

Similar Documents

Publication Publication Date Title
JP4833859B2 (ja) 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法
KR102471635B1 (ko) 극도의 균일성의 가열식 기판 지지 조립체
TWI481297B (zh) 控制空間溫度分布之方法及裝置
JP4481913B2 (ja) 基板ペデスタルアッセンブリ及び処理チャンバー
TWI358785B (ja)
JP5417338B2 (ja) 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
US6951587B1 (en) Ceramic heater system and substrate processing apparatus having the same installed therein
JP4745961B2 (ja) 温度制御された基板支持体表面を有する基板支持体及びその制御方法並びに半導体処理装置及びその方法
US7072165B2 (en) MEMS based multi-polar electrostatic chuck
JP4166831B2 (ja) プラズマ処理チャンバ
JP2000505152A (ja) 真空処理装置のための熱伝導性チャック
JP4782682B2 (ja) 連絡空間を用いた効率的な温度制御のための方法と装置
JP2004282047A (ja) 静電チャック
US6180926B1 (en) Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US20090277388A1 (en) Heater with detachable shaft
JP2004014752A (ja) 静電チャック、被処理体載置台およびプラズマ処理装置
JP2010010231A (ja) プラズマ処理装置
JP4602528B2 (ja) プラズマ処理装置
JP7223738B2 (ja) スパッタリング装置
JP2004253789A (ja) 静電チャック
KR20210004056A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110509

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110823

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110922

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees