JP5417338B2 - 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法 - Google Patents

冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法 Download PDF

Info

Publication number
JP5417338B2
JP5417338B2 JP2010532065A JP2010532065A JP5417338B2 JP 5417338 B2 JP5417338 B2 JP 5417338B2 JP 2010532065 A JP2010532065 A JP 2010532065A JP 2010532065 A JP2010532065 A JP 2010532065A JP 5417338 B2 JP5417338 B2 JP 5417338B2
Authority
JP
Japan
Prior art keywords
control module
temperature control
temperature
heat transfer
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010532065A
Other languages
English (en)
Other versions
JP2011502361A (ja
Inventor
ディンドサ・ラジンダー
ポボルニー・ヘンリー
アントリク・ジェリー・ケー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011502361A publication Critical patent/JP2011502361A/ja
Application granted granted Critical
Publication of JP5417338B2 publication Critical patent/JP5417338B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1919Control of temperature characterised by the use of electric means characterised by the type of controller
    • G05D23/192Control of temperature characterised by the use of electric means characterised by the type of controller using a modification of the thermal impedance between a source and the load
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Description

プラズマ処理装置は、エッチング、物理気相成長(PVD)、化学気相成長(CVD)、イオン注入、及びレジスト除去を含む技術によって基板を処理するために使用される。プラズマ処理に使用されるプラズマ処理装置の一種は、上部電極と下部電極とを含む反応チャンバを含む。反応チャンバ内においてプロセスガスをプラズマ状態に励起して基板を処理するために、これらの電極間に電場が確立される。特徴サイズの縮小及び新材料の導入ゆえに、プラズマ処理装置を改良してプラズマ処理の条件を制御することが求められている。
半導体処理チャンバのための温度制御モジュールは、熱伝導性の構成部品本体と、該構成部品本体の中の1本又は2本以上の通路と、対応する通路とそれぞれ同心の1本又は2本以上の管とを含む。各管の外表面と各通路の内表面との間の空間は、加圧された多量のガスを内包するように適応される。液体源が、管に接続され、管に液体を流れさせるように動作可能である。ガス源及び真空ポンプが、空間に接続される。ガス源は、コントローラに応答して各空間内におけるガス静圧を増大させるように動作可能であり、真空ポンプは、コントローラに応答して各空間を排気するように動作可能である。温度センサは、熱伝導性の構成部品本体と熱的に連通しているプラズマ処理構成部品の温度を測定してコントローラに情報を供給するように適応される。
プラズマ処理装置の代表的実施形態の断面図である。
熱制御モジュールの実施形態を示している。 熱制御モジュールの実施形態を示している。 熱制御モジュールの実施形態を示している。 熱制御モジュールの実施形態を示している。 熱制御モジュールの実施形態を示している。 熱制御モジュールの実施形態を示している。
プラズマ処理構成部品に取り付けられた熱制御モジュールの実施形態を示している。 プラズマ処理構成部品に取り付けられた熱制御モジュールの実施形態を示している。
熱制御モジュールを含むプラズマ処理装置の代表的実施形態の断面図である。
実験から得られた熱制御モジュールの加熱速度及び冷却速度のグラフである。 実験から得られた熱制御モジュールの加熱速度及び冷却速度のグラフである。
半導体基板の処理において、プラズマ処理の結果を変更するためには、プラズマ化学、イオンエネルギ、密度、分布、電子温度などのプラズマパラメータを制御することが所望される。プラズマ化学を制御するために、ひいてはウエハなどの半導体基板の処理結果を制御するために、これらのプラズマパラメータ制御に加えて、プラズマを閉じ込めるプラズマチャンバの表面の温度を使用することもできる。
図1は、例えばシリコンウエハなどの半導体基板が中で処理されるプラズマ処理装置のためのシャワーヘッド電極アセンブリ10の代表的実施形態を示している。シャワーヘッド電極アセンブリ10は、上部電極12を含むシャワーヘッド電極と、上部電極12に固定された受け部材14と、熱制御板16とを含む。
熱制御板は、上部板18を通って熱制御板16内まで達する開口22の中に適切な留め具20(例えばボルトやネジなど)を通すことによって、上部板16に取り付けることができる。熱制御板16は、アルミニウムやアルミニウム合金などの金属材料で作成されることが好ましい。熱制御板16は、受け部材14と熱制御板16との間のプレナム18にプロセスガスを分配するために、半径方向に伸びる分配通路24と、軸方向に伸びる通路26とを含むことができる。
下部電極と随意の静電クランプ電極とを含む基板サポート30が、プラズマ処理装置の真空処理チャンバ内において上部電極12の下方に配置される。プラズマ処理を施される基板32が、基板サポート30のサポート上面34の上に機械的に又は静電的に固定される。シャワーヘッド電極12及び基板サポート30の温度の制御は、温度制御モジュールを組み入れることによって実現することができる。
プラズマエッチングプロセスに使用されるシャワーヘッド電極アセンブリ10の温度は、プラズマ処理の開始から終了までの間に、約50℃から約400℃まで大きく変化する可能性がある。1つのウエハプラズマエッチングチャンバ内において一連のウエハをエッチングする場合は、高周波(RF)電力を受けるシャワーヘッド電極の各部分の温度が時間とともに変化して、RF電力を受けるシャワーヘッド電極により生成される熱ゆえにシャワーヘッド電極の中心部分がエッジ部分よりも多く加熱されることが、観測されている。例えば、シャワーヘッド電極の中心とエッジとの間の温度差は、最大約100℃に達する可能性がある。温度のこのばらつきは、更に高い電力レベル(例えば3,000〜6,000ワット)で電極が動作する場合に更に顕著になり、プラズマエッチングの不均一性をもたらす可能性がある。したがって、RF電力を受けるシャワーヘッド電極の温度のばらつきを抑えることによって、生産工程時におけるウエハのプラズマエッチングをより均一にすることができる。
同様に、基板32のエッチング時に、プラズマガスの反応性イオンは、半導体ウエハの面上の材料部分と化学的に反応し、基板の中心とエッジとの間に最大約50℃の温度差を生じさせる。局部的なウエハ温度と、ウエハ上の各地点における化学反応の速度とは、相関しているので、もしウエハの面においてあまりに大きく温度がばらつくと、ウエハの面上における材料のエッチングが不均一になる可能性がある。したがって、均一な基板温度を維持する能力を有する基板サポート30が必要である。
使用時に生成される熱の結果として生じる可能性があるプラズマ処理構成部品(例えばシャワーヘッド電極アセンブリ10又は基板サポート30)における温度のばらつきを踏まえて、本明細書に記載される温度制御モジュールは、構成部品の中心部分及びエッジ部分を所望の温度範囲内に維持することによって、処理結果を改善することができる。好ましくは、構成部品の中心からエッジにかけての温度のばらつきは、100℃未満である(例えば<75℃、<50℃、<25℃、<10℃、又は<5℃)。温度制御モジュールは、シャワーヘッド電極アセンブリ10又は基板サポート30における温度のばらつきを最小限に抑えるために、独立に制御可能な加熱/冷却ゾーンを含むことが好ましい。
図2A〜2Bは、ウエハサポート30又はシャワーヘッド電極アセンブリ10などのプラズマ曝露構成部品を均一な温度にするために加熱又は冷却される加熱/冷却ゾーン102A、102B、102Cを有する温度制御モジュール100の実施形態を示している。図2Aは、温度制御モジュール100の三次元切開斜視図を示している。図2Bは、温度制御モジュール100の断面図を示している。図2Cは、温度制御モジュール100の上面図を示している。図2D〜2Fは、温度制御モジュール100の更なる実施形態の断面図を示している。
図2A〜2Bに示されるように、温度制御モジュール100は、円形内表面106A、106B、106C(図2Bに示されている)を各自有するとともに熱伝導性の構成部品108の中に設けられた又は埋め込まれた複数の通路104A、104B、104Cを含む。一実施形態では、熱伝導性の構成部品108は、アルミニウム又はアルミニウム合金で構成された円形の板である。円形外表面112A、112B、112Cを有する管110A、110B、110Cが、各通路104A、104B、104Cの内側に配される。通路104A、104B、104Cの円形内表面106A、106B、106Cと、管110A、110B、110Cの円形外表面112A、112B、112Cとの間には、環状空間114A、114B、114C(図2Bに示されている)が設けられる。この構成は、熱伝達液を流れさせるように管110A、110B、110Cを適応させた同心管状の配置を通路104A、104B、104C内に提供する。管110A、110B、110Cを取り囲むのは、加圧されて熱伝導性の構成部品108と熱伝達液との間の熱伝導性を増大させることができる熱伝達ガスを内包するように適応された環状空間114A、114B、114Cである。
通路104A、104B、104Cは、同心の加熱/冷却ゾーン102A、102B、102Cを提供するために、熱伝導性の構成部品108の中心に対して同心状に配置することができる。冷却を達成するためには、管110A、110B、110Cの中に、熱伝達液が流れることができる。一実施形態では、通路104A、104B、104Cは、3本の別々の同心通路であってよい。図2Cに示されるように、熱伝達液は、それぞれ別々の入口116A、116B、116Cを通じて管110A、110B、110Cに入り、熱伝導性の構成部品108の中を所望のパターンで流れる。熱伝達液は、それぞれ別々の出口118A、118B、118Cを通じて管110A、110B、110Cから出ていく。この実施形態の場合は、管110A、110B、110Cを流れる熱伝達液に伝達される熱の量を、液体の温度及び/又は流量を制御するコントローラを通じて個別に制御することができる。
熱伝達液は、管110A、110B、110Cにおける使用に適した伝熱特性を有する任意の液体であってよい。例えば、熱伝達液は、水(例えば脱イオン水)、エチレングリコールや、シリコンオイル、水とエチレングリコールとの混合などであってよい。熱伝達液の冷却性能は、異なる液体及び/若しくは異なる液体の混合を使用すること、液体流量を変化させること、並びに/又は液体の初期温度を変化させることによって、制御することができる。加熱を実現するためには、熱伝達液を加熱することができる。しかしながら、加熱及び冷却の応答時間を速くするためには、冷やした熱伝達液を管110A、110B、110Cに循環させ、ヒータ素子120A、120B、120Cを使用して各加熱/冷却ゾーン102A、102B、102Cの温度を上昇させることができる。
図2A〜2Bに示されるように、通路104A、104B、104Cの内表面106A、106B、106Cと、管110A、110B、110Cの外表面112A、112B、112Cとの間には、環状空間114A、114B、114Cが形成される。環状空間114A、114B、114Cは、例えばヘリウム、ネオン、アルゴン、又は窒素などの、加圧された熱伝達ガスを保持することができる。一実施形態では、環状空間114A、114B、114Cの幅(すなわち半径方向の寸法)は、約5mmから約100mm(約0.005から約0.10インチ)の間であり、好ましくは約50mm(約0.05インチ)である。
(図2Bの拡大領域Cに示されるように、)管110A、110B、110Cの外表面112A、112B、112Cと、通路104A、104B、104Cの内表面106A、106B、106Cとの間には、サポート構造122を配することができる。サポート構造122は、突出、又は突出を伴うリングを含むことができる。例えば、サポート構造122は、管110A、110B、110Cの外表面112A、112B、112Cに一体な突出、又は通路104A、104B、104Cの内表面106A、106B、106Cと一体な突出であってよい。サポート構造122は、通路104A、104B、104Cの内表面106A、106B、106Cに対する管110A、110B、110Cの接触を阻止する。好ましくは、サポート構造122は、管110A、110B、110Cの外表面112A、112B、112Cと、通路104A、104B、104Cの内表面106A、106B、106Cとの間に実質的に均一な空間114A、114B、114Cを維持する。熱伝導性の構成部品108と、熱伝達液との間の熱伝導を最小限に抑えるために、サポート構造122と、内表面106A、106B、106Cとの間の表面接触が最小にされる。サポート構造122は、窒化シリコン又は酸化アルミニウムなどの断熱性材料で構成されてもよい。
温度制御モジュール100は、熱伝導性の構成部品108に熱的に接触している独立に制御されるヒータ素子120A、120B、120Cを含むことが好ましい。ヒータ素子120A、120B、120Cは、熱伝導性の構成部品108の外表面に接触している、又は熱伝導性の構成部品108に埋め込まれているかのいずれかでよく、少なくとも1つの加熱素子120A、120B、120Cが、対応する加熱/冷却ゾーン内に設けられている。一実施形態では、ヒータ素子120A、120B、120Cは、抵抗性の加熱素子である。もし加熱が所望される場合は、空間114A、114B、114C内におけるガス圧を減少させることによって、管110A、110B、110Cが熱的に隔離される。ヒータ素子は、ヒータ素子120A、120B、120Cの1つ又は2つ以上を作動させることによって、精密な温度制御を可能にする。
代替の実施形態では、通路104A、104B、104Cは、1本の連続した通路の部分であってよく、管110A、110B、110Cは、1本の連続した管の部分であってよい。流路は、らせん、ジグザグ、又は1つ若しくは2つ以上の入口と1つ若しくは2つ以上の出口とを有するその他のパターンであってよい。この実施形態の場合は、ヒータ素子120A、120B、120Cは、各加熱/冷却ゾーン102A、102B、102Cの温度を制御する。
プラズマ処理時において、温度制御モジュール100を組み込んだ構成部品の外表面温度は、表面のゾーンごとに異なる量で上昇する可能性がある。管110A、110B、110Cを流れる熱伝達液によって各ゾーンにおいて除去される熱の量は、空間114A、114B、114C内における熱伝達ガスのガス静圧を個別に調整することによって、制御することができる。空間114A、114B、114C内におけるガス静圧を変化させることによって、熱伝導性は、約60W/m2・Kから約600W/m2・Kまでの広い範囲で変化することができる。例えば、空間114A、114B、114Cの半径方向寸法が約10mm(約0.010インチ)である場合は、圧力が1トールのヘリウムガスの熱伝導性は、約60W/m2・Kである。しかしながら、ヘリウムガスの圧力を約100トールまで上昇させることによって、熱伝導性は、約600W/m2・Kまで増大する。こうして、通路104A、104B、104を流れる熱伝達液が熱伝導性の構成部品108から熱を除去する能力を、素早く達成することができ、必要に応じて、選択的加熱とともに空間114A、114B、114C内におけるガス圧を迅速に且つ選択的に減少させることによって、所望の局部的温度を維持することができる。
図2Bに示されるように、コントローラ130は、環状空間114A、114B、114C内における熱伝達ガスの静圧をガス源140をもとに選択的に変化させて加熱/冷却ゾーン102A、102B、102Cにおける冷却を選択的に制御するように、又は真空ポンプ150によって環状空間114A、114B、114Cを真空圧(例えば50ミリトール未満)まで選択的に排気して熱伝達液を各加熱/冷却ゾーン102A、102B、102Cから断熱するように、動作可能である。同様に、コントローラ130は、電源160から加熱素子120A、120B、120Cへの電力を変化させることによって各加熱/冷却ゾーン102A、102B、102Cにおける加熱を制御するように動作可能である。例えば、電源160は、交流(AC)電源又は直流(DC)電源であってよい。したがって、液体源170から管110A、110B、110Cに熱伝達液(例えば冷やした脱イオン水)を供給し、必要に応じて空間114A、114B、114C内におけるガス圧を制御して加熱を調整することによって、各加熱/冷却ゾーン102A、102B、102Cにおける局部的温度を精密に調節することができる。
一実施形態では、温度制御モジュール100の熱伝導性の構成部品108は、管110A、110B、110C及び加熱素子120A、120B、120Cを鋳型内に配置してアルミニウム又はアルミニウム合金を鋳造することによって形成することができる。或いは、アルミニウムの板を二分割した鋳型によって機械加工し、通路104A、104B、104Cを各板内において半分まで到達させることができる。一方の板内に、管110A、110B、110Cを設置し、適切な密閉構成によって一方の板に他方の板を接着して又は機械的に取り付けて、温度制御モジュール100を形成することができる。下側の板は、ヒータ素子120A、120B、120Cを収めるための凹所を通路104A、104B、104Cの下方に含むように機械加工することができる。別の実施形態では、熱伝導性の構成部品108は、窒化アルミニウム(AlN)、炭化シリコン(SiC)、又はその他の熱伝導性材料などを焼結させたセラミックで作成することができる。
図2Dに示された代替の実施形態では、温度制御モジュール100は、熱伝導性の構成部品108の表面に装着された独立に制御されるヒータ素子124A、124B、124Cを含む。例えば、ヒータ素子124A、124B、124Cは、ろう付け又は接着のいずれかによって装着することができる。ヒータ素子124A、124B、124Cを熱伝導性の構成部品108に装着するためには、熱応力に対応するとともに熱を伝達する上述のようなエラストマ接着材料を使用することができる。表面に装着されたヒータ素子124A、124B、124Cは、熱伝導性の構成部品108をより薄い構成部品として鋳造することを可能にし、それによってその全体の熱質量を低減させる。
図2E〜2Fは、2本以上の通路104及び/又は2つ以上の加熱素子120若しくは表面装着加熱素子124を含む少なくとも1つの加熱/冷却ゾーンに熱伝導性の構成部品108を区切ることができる、温度制御モジュール100の更なる実施形態を示している。図2Eの実施形態では、各加熱/冷却ゾーン102A、102Bは、複数の加熱素子120と、環状空間114に取り囲まれた同心管110を有する複数の通路104とを含む。図2Fの実施形態では、各加熱/冷却ゾーン102A、102Bは、環状空間114に取り囲まれた同心管110を有する複数の通路104と、熱伝導性の構成部品108の表面に装着された表面装着ヒータ素子124とを含む。
図3A〜3Bに示されるように、熱伝導性の構成部品208は、対応する通路204A、204B、204Cと加熱素子220A、220B、220Cとを各自含む複数の加熱/冷却ゾーン202A、202B、202Cに区切られる。代替の実施形態(図3には示されていない)では、熱伝導性の構成部品208は、2本以上の通路204及び/又は2つ以上の加熱素子220を各自含む4つ以上の同心ゾーンに区切ることができる。
図3Aは、プラズマ処理チャンバ構成部品280に取り付けられた温度制御モジュール200の三次元斜視図を示している。図3Bは、プラズマ処理構成部品280に取り付けられた温度制御モジュール200の切開断面図を示している。例えば、プラズマ処理構成部品280は、熱制御板16、又はプラズマ処理時にウエハ32を支える基板サポート30であってよい。
プラズマ処理構成部品280における温度制御ゾーンは、同心領域282A、282B、282Cとして定めることができる。プラズマ処理時に、各領域282A、282B、282Cは、異なる温度になって、プラズマエッチングの均一性に悪影響を及ぼす可能性がある。図3A及び図3Bに示されるように、温度制御モジュール200の各加熱/冷却ゾーン202A、202B、202Cは、対応する領域282A、282B、282Cに熱的に接触している。この構成は、領域282A、282B、282Cの温度を独立に制御する能力を提供する。
温度を調節するいかなるメカニズムもない状態では、プラズマ処理時に、プラズマ処理構成部品280の領域282C(中心近く)と領域282A(エッジ近く)との間の温度差が、最大100℃に達する可能性がある。温度を監視するためには、ゾーン202A、202B、202C内に、温度センサ284A、284B、284Cを設けることができる(図3B)。例えば、構成部品280に埋め込まれた温度センサ284A、284B、284Cは、熱電対温度センサや光ファイバー温度センサなどであってよい。プラズマ処理構成部品280全体にわたってより均一な温度分布を達成するためには、後述のように、領域282Cを加熱/冷却ゾーン202Cによって選択的に冷却する且つ/又は領域282Aを加熱/冷却ゾーン202Aによって選択的に加熱することができる。
先ず、管210A、210B、210Cに、熱伝達液(例えば冷えた脱イオン水)が流れる。例えば、約20℃又はそれ未満の冷えた脱イオン水などの熱伝達液が、約1ガロン毎分から約3ガロン毎分の間の流量で管210A、210B、210Cを流れることができる。個別制御のために、通路204A、204B、204Cは、3本の別々の同心通路であってよい。図2Cの実施形態に示されるように、熱伝達液は、別々の入口を通じて管210A、210B、210Cに入り、所望のパターンで流れ、別々の出口を通じて出ていくことができる。熱伝達液の冷却性能は、異なる液体及び/若しくは異なる液体の混合を使用すること、液体流量を変化させること、並びに/又は管210A、210B、210Cに導入される液体の温度を変化させることによって、制御することができる。
管210A、210B、210Cを熱的に隔離するために、空間214A、214B、214C内における熱伝達ガスの圧力は、空間214A、214B、214Cにおける熱伝導性が約50W/m2・K未満であるように真空圧(例えば50ミリトール未満)に維持される。例えば、空間214A、214B、214Cは、真空ポンプ250によって、真空圧に維持することができる。
コントローラ230は、温度センサ284A、284B、284Cからの入力信号を受信する。もし領域282A、282B、282Cの任意の1つがターゲット温度を上回るときは、コントローラ230は、ガス源240を作動させて対応する空間214A、214B、214C内における熱伝達ガスの静圧を選択的に増大させるように動作可能である。この静圧の増大は、管210A、210B、210Cを流れる熱伝達液への熱伝導も増加させる。領域282A、282B、282Cの温度がターゲット温度未満に落ちると、コントローラ230は、真空ポンプ250によって対応する空間214A、214B、214Cを真空圧(例えば50ミリトール未満)まで選択的に排気し、通路204A、204B、204Cを流れる冷却液への熱伝導を制限する。
例えば、もし温度センサ284Aによって、プラズマ処理構成部品280の領域282Aがターゲット温度を上回る温度にあることが検出されると、温度コントローラ230は、加熱/冷却ゾーン202Aの冷却メカニズムを作動させる。温度コントローラ230は、ガス源240によって対応する空間214A内におけるガス圧を真空圧(例えば<50ミリトール)から上昇圧力(例えば約100トールから約200トール)まで増大させる。一実施形態では、空間214A内におけるガス圧は、圧力センサ(例えばユニバーサル圧力コントローラ)によって測定される。好ましくは、上昇したガス圧は、対応する空間214Aにおける熱伝導性を、約500W/m2・Kから約600W/m2・Kの間まで増大させる。例えば、約10mm(約0.010インチ)の半径方向寸法を有する空間内において、熱伝達ガスは、圧力が100トールのヘリウムであってよい。空間214における熱伝導性の増大によって、管210Aを流れる熱伝達液は、領域282Aから熱を奪って温度を低下させる。領域282Aの温度がターゲット温度未満まで降下すると、コントローラ230は、真空ポンプ250によって空間214Aを真空圧(例えば50ミリトール未満)まで排気し、ヒータ220Aは、領域282Aに熱を供給するように作動される。こうして、迅速で且つ精密な温度制御を達成することができる。
もし領域282A、282B、282Cのいずれかの温度がターゲット温度未満まで降下すると、コントローラ230は、電源260を作動させて1つ又は2つ以上のヒータ素子220A、220B、220Cに選択的に電力を供給するように動作可能である。加熱時に、コントローラ230は、真空ポンプ250によって対応する空間214A、214B、214Cを真空圧(例えば50ミリトール未満)まで選択的に排気し、通路204A、204B、204Cを流れる熱伝達液への熱伝導を制限する。
例えば、もし温度センサ284Aによって、プラズマ処理構成部品280の領域282Aがターゲット温度未満になったことが検出されると、コントローラ230は、加熱/冷却ゾーン202Aの加熱メカニズムを作動させる。コントローラ230は、電源260によって加熱素子220Aに電力を供給し、これは、領域202Aを加熱する。加熱素子220Aによって生成されて加熱領域202Aではなく管210Aを流れる熱伝達液に伝達される熱の量を最小限に抑えるために、コントローラ230は、真空ポンプ250によって約60秒又はそれより短い時間内に空間214Aを真空圧(例えば50ミリトール未満)まで排気させることも行う。領域202Aの温度がターゲット温度まで加熱されると、コントローラ230は、加熱素子220Aへの電力を停止させる。
図4は、上述のような温度制御モジュールを含む、シャワーヘッド電極アセンブリ410及び基板サポート430の断面図を示している。シャワーヘッド電極アセンブリ410は、上部電極412と、上部電極412に固定された受け部材414と、熱制御板416とを含む。熱制御板416は、複数の加熱素子320と、冷えた熱伝達液を流すための同心管310を有する通路304とを含む。管310を取り囲む空間314は、加圧されて熱制御板416と管を流れる液体との間の熱伝導性を増大させることができる熱伝達ガスを内包するように適応される。熱制御板416は、別々の加熱/冷却ゾーン302A、302B、302Cを含む。
やはり図4に示されるように、基板サポート430は、加熱素子320と、冷えた熱伝達液を流すための同心管310及び加圧された熱伝達ガスを内包するように適応された空間314を有する通路304とを含む。基板サポート430は、別々の加熱/冷却ゾーン302D、302E、302Fも含む。
実施例:
加熱素子320と同心管310を有する通路304とを含む熱制御板416の加熱速度及び冷却速度を、空間314内におけるガス静圧の関数として決定するために、テストが実施された。熱制御板416は、ステンレス製の管310及び抵抗性の加熱素子320を鋳型内に配置してアルミニウム合金を鋳造することによって形成された。ステンレス製の管310は、鋳型内に配置されたらせん構成を有する1本の連続した管であった。管310は、熱伝達液のための1つの入口と1つの出口とを有する。管310は、約0.38インチ(約0.965センチ)の外径を有する。通路304の直径は、約0.5インチ(約1.27センチ)で、空間314の半径方向寸法は、約0.06インチ(約0.152センチ)であった。
熱制御板416は、カリフォルニア州フリーモント所在のLam Research Corporationによって製造されたEXELAN(登録商標)FLEX(商標)誘電体プラズマエッチングシステムに装着された。テストでは、熱伝達液として水が使用された。約15℃から約20℃の間の初期温度を有する冷却水が、約1ガロン毎分から約2ガロン毎分の間の体積流量で管310に流し込まれた。加熱速度を決定するために、約6,900ワットを加熱素子320に印加することによって熱制御板416を約40℃から約200℃まで加熱し、その間に、ヘリウム静圧は、約50ミリトールから約200トールの間で変化された。加熱テストの結果は、図5Aにまとめられた。冷却速度を決定するために、熱制御板416は、先ず160℃まで加熱され、次いで60℃まで冷却され、その間に、ヘリウム静圧は、約50ミリトールから約200トールの間で変化され、管310を流れる循環水は、15℃から20℃まで変化された。冷却テストの結果は、図5Bにまとめてある。
図5Aは、ヘリウム静圧が約50ミリトールのときに、熱制御板416が8分以内に40℃から約200℃まで加熱可能であること(すなわち約20℃/分の加熱速度)を示している。ヘリウムの静圧が50トールを超えるまで増大されると、加熱素子320によって生成される熱が空間314内のヘリウムガスを通じて伝導されるゆえに、170℃まで加熱するために10分超の時間が必要であった。図5Bは、ヘリウム静圧が約100トールから200トールのときに、熱制御板416が約11分で約160℃から約50℃まで冷却可能であること(すなわち約10℃/分の冷却速度)を示している。
本発明は、その具体的な実施形態への言及によって詳細に説明されてきたが、当業者ならば、添付の特許請求の範囲から逸脱することなく様々な修正及び変更が可能であること並びに均等物が用いられることが明らかである。

Claims (20)

  1. 半導体処理チャンバのための温度制御モジュールであって、
    熱伝導性の構成部品本体と、
    前記構成部品本体の中の通路であって、内表面を有する通路と、
    前記通路の中の管であって、外表面を有する管と、
    前記管の前記外表面と前記通路の前記内表面との間の空間であって、加圧された多量の熱伝達ガスを内包するように適応された空間と、
    前記管に接続され、前記管に熱伝達液を流れさせるように動作可能である液体源と、
    コントローラと、
    前記空間に接続されたガス源及び真空ポンプと、
    を備え、
    前記ガス源は、前記コントローラに応答して前記空間内におけるガス静圧を増大させるように動作可能であり、前記真空ポンプは、前記コントローラに応答して前記空間を排気するように動作可能である、温度制御モジュール。
  2. 請求項1に記載の温度制御モジュールであって、更に、
    前記温度制御モジュールに取り付けられたプラズマ処理構成部品と、
    前記プラズマ処理構成部品の温度を測定して前記コントローラに情報を供給するように適応された温度センサと、を備える温度制御モジュール。
  3. 請求項1に記載の温度制御モジュールであって、
    複数の加熱/冷却ゾーンを有し、
    前記構成部品本体の中の複数の通路と、
    各通路の中に同心状に設けられた管と、
    前記構成部品本体に熱的に接触している複数の加熱素子であって、各加熱/冷却ゾーンが1本又は2本以上の通路と1つ又は2つ以上の加熱素子とを含むように構成された複数の加熱素子と、
    前記コントローラに応答して前記加熱素子に選択的に電力を供給するように適応された電源と、
    を備える温度制御モジュール。
  4. 請求項3に記載の温度制御モジュールであって、
    前記複数の加熱素子は、前記熱伝導性の構成部品本体の中に含まれる、温度制御モジュール。
  5. 請求項3に記載の温度制御モジュールであって、
    前記複数の加熱素子は、前記熱伝導性の構成部品本体の表面に接着されるか又はろう付けされる、温度制御モジュール。
  6. 請求項3に記載の温度制御モジュールであって、
    前記熱伝導性の構成部品本体は、アルミニウム、アルミニウム合金、窒化アルミニウム、又は炭化シリコンで構成された円形の板である、温度制御モジュール。
  7. 請求項6に記載の温度制御モジュールであって、
    前記通路及び前記加熱素子は、前記円形の板の中心に対して異なる半径方向距離にある、温度制御モジュール。
  8. 請求項3に記載の温度制御モジュールであって、更に、
    前記通路の前記内表面と、前記管の前記外表面との間にサポート構造を備え、前記サポート構造は、前記管の前記外表面と、前記通路の対向内表面との間に実質的に均一寸法の環状空間を維持するように適応される、温度制御モジュール。
  9. 請求項8に記載の温度制御モジュールであって、
    前記サポート構造は、前記管上若しくは前記内表面上の突出、又は、突出を伴うリングを含む、温度制御モジュール。
  10. 請求項6に記載の温度制御モジュールであって、
    前記加熱ゾーンは、前記円形の板の中心に対して同心状に配置される、温度制御モジュール。
  11. 請求項3に記載の温度制御モジュールであって、
    前記空間は、mmから100mmの間の半径方向寸法を有する、温度制御モジュール。
  12. 請求項3に記載の温度制御モジュールであって、
    前記熱伝導性の構成部品本体は、シャワーヘッド電極に取り付けられ、前記半導体処理チャンバへプロセスガスを流すための1本又は2本以上のガス通路を含む、温度制御モジュール。
  13. 請求項3に記載の温度制御モジュールであって、
    前記熱伝導性の構成部品本体は、基板サポートに取り付けられる、温度制御モジュール。
  14. 複数の領域を有するプラズマ処理構成部品の温度を制御する方法であって、
    請求項3に記載の温度制御モジュールを含むプラズマ処理チャンバ内において半導体基板を支えることであって、前記プラズマ処理構成部品の前記複数の領域は、前記温度制御モジュールの前記加熱/冷却ゾーンに熱的に接触している、ことと、
    前記温度制御モジュールの前記管に液体を流れさせることと、
    前記プラズマ処理構成部品の前記複数の領域の1つ又は2つ以上の領域の温度を測定することと、
    前記1つ又は2つ以上の領域の温度がターゲット温度を上回るときに、前記空間の少なくとも1つにおける熱伝達ガスの圧力を上昇圧力まで増大させ、前記1つ又は2つ以上の領域の温度が前記ターゲット温度を下回ると、前記熱伝達ガスの圧力を減少させることと、
    前記1つ又は2つ以上の領域の温度が前記ターゲット温度を下回るときに、前記空間の1つ又は2つ以上における前記熱伝達ガスの圧力を維持する又は低減圧力まで減少させるとともに、前記加熱素子の1つ又は2つ以上に電力を供給し、前記1つ又は2つ以上の領域の温度が前記ターゲット温度を上回るまで上昇すると、前記1つ又は2つ以上の加熱素子への電力を停止することと、
    を備え、
    前記複数の領域間の温度差は、50℃未満である、方法。
  15. 請求項14に記載の方法であって、
    前記熱伝達ガスの圧力を増大させることは、結果として、前記空間における熱伝導性を500W/m2Kから600W/m2Kの間まで増大させ、前記熱伝達ガスの圧力を減少させることは、結果として、前記円筒状の空間における熱伝導性を60W/m2K未満にし、前記熱伝達ガスは、ヘリウム、ネオン、アルゴン、又は窒素である、方法。
  16. 請求項14に記載の方法であって、
    前記熱伝達ガスは、ヘリウムであり、前記低減圧力は、トール以下であり、前記上昇圧力は、100トールから200トールの間である、方法。
  17. 請求項14に記載の方法であって、
    前記温度差は、25℃未満又は10℃未満である、方法。
  18. 請求項14に記載の方法であって、
    前記温度差は、5℃未満である、方法。
  19. 請求項14に記載の方法であって、更に、
    シャワーヘッド電極アセンブリを前記温度制御モジュールに取り付けられた前記チャンバ内に、プロセスガスを導入することと、
    前記チャンバの、前記シャワーヘッド電極アセンブリと前記基板との間の領域内において、前記プロセスガスからプラズマを生成することと、
    前記基板を前記プラズマによって処理することと、
    を備える方法。
  20. 請求項19に記載の方法であって、更に、
    前記温度制御モジュールに取り付けられた基板サポートで前記基板を支えることを備え、前記処理は、プラズマエッチングを含む、方法。
JP2010532065A 2007-10-31 2008-10-31 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法 Active JP5417338B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US111207P 2007-10-31 2007-10-31
US61/001,112 2007-10-31
PCT/US2008/012394 WO2009058376A2 (en) 2007-10-31 2008-10-31 Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body

Publications (2)

Publication Number Publication Date
JP2011502361A JP2011502361A (ja) 2011-01-20
JP5417338B2 true JP5417338B2 (ja) 2014-02-12

Family

ID=40583389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010532065A Active JP5417338B2 (ja) 2007-10-31 2008-10-31 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法

Country Status (6)

Country Link
US (2) US8083855B2 (ja)
JP (1) JP5417338B2 (ja)
KR (1) KR101508026B1 (ja)
CN (1) CN101842877B (ja)
TW (1) TWI508129B (ja)
WO (1) WO2009058376A2 (ja)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
TW200802553A (en) * 2006-05-17 2008-01-01 Eagle Ind Co Ltd Heating apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
KR101083590B1 (ko) * 2008-09-11 2011-11-16 엘아이지에이디피 주식회사 플라즈마 처리장치
US8474273B2 (en) * 2009-10-29 2013-07-02 Air Products And Chemicals, Inc. Apparatus and method for providing a temperature-controlled gas
US9139910B2 (en) * 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
KR101083967B1 (ko) * 2010-07-16 2011-11-21 (주)위지트 샤워헤드
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US20120073502A1 (en) * 2010-09-27 2012-03-29 Veeco Instruments Inc. Heater with liquid heating element
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
US10557190B2 (en) 2013-01-24 2020-02-11 Tokyo Electron Limited Substrate processing apparatus and susceptor
JP6276919B2 (ja) * 2013-02-01 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置および試料台
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103334092B (zh) * 2013-06-13 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
TWI527626B (zh) * 2014-01-15 2016-04-01 財團法人工業技術研究院 噴灑頭裝置
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
JP6608923B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
JP6607873B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 埋め込み式ファイバーオプティクス及びエポキシ光ディフューザーを使用した基板の温度制御のための装置、システム、並びに方法
KR101561875B1 (ko) * 2014-07-07 2015-10-30 (주)나노테크 온도제어 시스템이 적용된 히터 조립체
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101593833B1 (ko) * 2014-10-17 2016-02-12 세메스 주식회사 기판 히팅 유닛 및 이를 포함하는 다이 본딩 장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
KR20180136302A (ko) * 2017-06-14 2018-12-24 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108216694A (zh) * 2017-12-27 2018-06-29 中国科学院国家空间科学中心 一种多设备热真空试验装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7195307B2 (ja) * 2018-05-02 2022-12-23 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383881B (zh) * 2018-12-27 2023-03-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
CN113994463A (zh) 2019-05-16 2022-01-28 应用材料公司 用于使基板背侧损伤最小化的方法和设备
KR102268559B1 (ko) * 2019-07-03 2021-06-22 세메스 주식회사 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
CN110354636A (zh) * 2019-07-05 2019-10-22 四川远方高新装备零部件股份有限公司 一种变压吸附分离柱及其电控系统
CN112951694A (zh) * 2019-11-26 2021-06-11 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
US11646213B2 (en) * 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
JP2022016129A (ja) * 2020-07-10 2022-01-21 東京エレクトロン株式会社 載置台、基板を処理する装置、及び基板を温度調節する方法
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
JP2022070597A (ja) * 2020-10-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
KR102429782B1 (ko) * 2020-10-29 2022-08-05 (주)광주금형 웨이퍼 가열 및 냉각용 플레이트
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
US20230070804A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN115133144B (zh) * 2022-06-21 2023-03-28 浙江昀邦电池有限公司 一种碱性电池生产线及其工艺
US20240093367A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Atomic layer deposition part coating chamber

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2440245A (en) * 1944-03-13 1948-04-27 Standard Telephones Cables Ltd Cooling of high-temperature bodies
DE1182362B (de) * 1958-07-12 1964-11-26 Siemens Ag Brennelement fuer vorzugsweise gas- bzw. metallgekuehlte Kernreaktoren
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US5228513A (en) * 1991-05-03 1993-07-20 Indugas, Inc. Convective heat transfer by cascading jet impingement
US5248657A (en) * 1991-05-13 1993-09-28 General Dynamics Corporation, Space Systems Division Method for forming internally helixed high temperature superconductor assembly
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
JP3477062B2 (ja) * 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP2002057207A (ja) * 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US7156951B1 (en) * 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
KR100684902B1 (ko) * 2005-05-30 2007-02-20 삼성전자주식회사 온도 조절 장치 및 이를 가지는 기판 처리 장치, 그리고상기 장치의 온도를 제어하는 방법
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
JP4906425B2 (ja) * 2006-07-26 2012-03-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2009084686A (ja) * 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
US20100078151A1 (en) * 2008-09-30 2010-04-01 Osram Sylvania Inc. Ceramic heat pipe with porous ceramic wick
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置

Also Published As

Publication number Publication date
WO2009058376A3 (en) 2009-07-16
US20120070914A1 (en) 2012-03-22
CN101842877B (zh) 2012-09-26
WO2009058376A2 (en) 2009-05-07
US8083855B2 (en) 2011-12-27
TW200924017A (en) 2009-06-01
CN101842877A (zh) 2010-09-22
TWI508129B (zh) 2015-11-11
US20090111276A1 (en) 2009-04-30
JP2011502361A (ja) 2011-01-20
US8216486B2 (en) 2012-07-10
KR20100098509A (ko) 2010-09-07
KR101508026B1 (ko) 2015-04-08

Similar Documents

Publication Publication Date Title
JP5417338B2 (ja) 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
JP4166831B2 (ja) プラズマ処理チャンバ
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
TWI546408B (zh) 藉由液體控制之多區基板支座而改善基板溫度控制
KR102471635B1 (ko) 극도의 균일성의 가열식 기판 지지 조립체
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
JP4833859B2 (ja) 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法
KR100892900B1 (ko) 열교환기 및 이 열교환기를 구비한 표면처리장치
TWI338918B (ja)
US8555810B2 (en) Plasma dry etching apparatus having coupling ring with cooling and heating units
US20040187787A1 (en) Substrate support having temperature controlled substrate support surface
JP2012500470A (ja) 温度制御式ホットエッジリング組立体
TW201421575A (zh) 電容式耦合電漿處理設備中之噴淋頭電極組件
JP2012099825A (ja) 動的な温度制御を有する基板支持体
KR100493903B1 (ko) 플라즈마처리챔버용온도제어방법및장치
KR20210004056A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
JP2010199421A (ja) プラズマ処理装置およびプラズマエッチング方法
KR20210039759A (ko) 기판 처리 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110929

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120828

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131022

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131118

R150 Certificate of patent or registration of utility model

Ref document number: 5417338

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250