KR100892900B1 - 열교환기 및 이 열교환기를 구비한 표면처리장치 - Google Patents

열교환기 및 이 열교환기를 구비한 표면처리장치 Download PDF

Info

Publication number
KR100892900B1
KR100892900B1 KR1020030011080A KR20030011080A KR100892900B1 KR 100892900 B1 KR100892900 B1 KR 100892900B1 KR 1020030011080 A KR1020030011080 A KR 1020030011080A KR 20030011080 A KR20030011080 A KR 20030011080A KR 100892900 B1 KR100892900 B1 KR 100892900B1
Authority
KR
South Korea
Prior art keywords
plate
flow path
substrate
heat exchanger
shaped
Prior art date
Application number
KR1020030011080A
Other languages
English (en)
Other versions
KR20030071498A (ko
Inventor
사고야스미
이께다마사요시
가네꼬가즈아끼
오까다다꾸지
Original Assignee
캐논 아네르바 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐논 아네르바 가부시키가이샤 filed Critical 캐논 아네르바 가부시키가이샤
Publication of KR20030071498A publication Critical patent/KR20030071498A/ko
Application granted granted Critical
Publication of KR100892900B1 publication Critical patent/KR100892900B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

(과제) 본 발명은 온도컨트롤이 필요한 부분을 효율적으로 냉각 또는 가열하여 원하는 온도로 균일하게 제어할 수 있는 열교환기를 실현하고, 이로써 안정된 처리를 연속적으로 실시할 수 있는 표면처리장치를 제공하는 것을 목적으로 한다.
(해결수단) 배기수단 및 가스도입수단을 구비한 처리실 내부에 기판을 탑재시키는 기판탑재대와, 가스방출수단을 구비하고, 상기 가스방출수단에 의해 기판을 향해 방출되는 가스 또는 그 반응생성물에 의해 기판을 처리하는 표면처리장치에서, 기판탑재대, 가스방출수단 또는 처리실은 열교환기를 구비하고, 이 열교환기는 2 장의 판형상체 사이에 분리벽을 설치하여 유로를 형성하고, 이 유로에 유체를 흐르게 하고, 상기 판형상체 또는 상기 판형상체에 접촉하는 부재를 소정 온도로 냉각 또는 가열하는 열교환기로서, 상기 유로 내부의 상기 2 장의 판형상체의 각각에, 유로와 평행 또는 소정 각도로 핀을 형성한 것을 특징으로 한다.
Figure R1020030011080
표면처리장치, 판형상체, 열교환기, 핀

Description

열교환기 및 이 열교환기를 구비한 표면처리장치 {A HEAT EXCHANGER AND A SUBSTRATE PROCESSING APPARATUS HAVING THE HEAT EXCHANGER}
도 1 은 본 발명의 열교환기의 구조를 나타내는 모식적 단면도이다.
도 2 는 본 발명의 에칭장치의 구성예를 나타내는 모식적 단면도이다.
도 3 은 에칭장치의 기판탑재전극에 사용되는 열교환기의 일례를 나타내는 모식적 횡단면도이다.
도 4 는 에칭처리에서의 기판 (기판탑재전극) 의 온도변화를 나타내는 개념도이다.
도 5 는 기판온도와 에칭속도의 관계를 나타내는 그래프이다.
도 6 은 타깃배킹플레이트의 열교환기를 나타내는 평면도 및 단면도이다.
* 도면의 주요 부분에 대한 부호 설명*
1: 처리실 2: 대향전극 (가스방출수단)
3: 프레임체 4: 가스분산
5: 열교환기 6: 가스판
5a: 가스통로 5b: 냉매통로
5c: 핀 7: 기판탑재전극
8: 열교환기 8c: 유로
8d: 핀 9: 정전(靜電)척
10: 가스도입관 12a, 12b: 절연체
13: 밸브 14,15: 고주파 전원
16: 블로킹 콘덴서 17: 직류 전원
18: 고주파 컷필터 19: 밀어냄핀
20: 관통구멍 21: 벨로스
22: 가스공급계 40: 기판
50,60: 판형상체 51: 상판 핀
61: 하판 핀 62: 유로벽
70: 유로
본 발명은 표면처리장치에 관련된 것으로, 특히 반도체 표면처리장치 등에 사용되는 온도제어성이 우수한 열교환기에 관한 것이다.
반도체디바이스나 액정표시패널의 제조에 사용되는 스퍼터장치나 에칭장치 등의 플라스마처리장치는 플라스마나 이온의 충격에 의해 기판, 대향전극, 타깃 등이 가열된다. 따라서, 안정된 처리를 계속하기 위해 온도 상승을 방지하여 소정 온도로 유지하는 냉각기구가 설치된다.
예컨대, 스퍼터장치의 타깃의 냉각기구는 도 6 (일본 공개특허공보 평6-172988호) 에 나타내는 바와 같이, 타깃 (101) 을 지지하는 배킹플레이트 (102) 의 이면에 냉각수로가 되는 오목부를 형성하고, 이 오목부를 덮도록 타깃홀더 (103) 에 고정시키는 구성으로 되어 있다. 배킹플레이트의 이면측에는 플레이트를 보강하고 냉각수의 수로 (106) 를 형성하는 핀 (104) 이 형성되고, 냉각수 입구 (105) 로부터 공급되어 수로 (106) 를 통과하여 출구 (107) 로부터 배출되는 냉각수에 의해 타깃 (101) 이 냉각된다. 이와 같이 보강핀 (104) 을 배킹플레이트에 형성함으로써 배킹플레이트를 얇게 하고, 그 결과 타깃의 냉각효율을 향상시키고 있다.
에칭장치의 기판탑재대나 대향전극 등의 경우도 마찬가지로 그 내부에 냉매의 유로가 형성되고, 유로에 냉매를 흐르게 함으로써 기판이나 가스방출판을 소정 온도로 유지하여 처리의 안정화를 도모하고 있다.
그러나, LSI 등의 반도체디바이스가 고집적화, 미세화가 진행되어 예컨대 0.13㎛ 의 디자인룰이 되면, 상기 구조의 냉각기구는 냉각능력이 부족하여 기판 면내에서 온도분포가 생겨 에칭속도의 균일성을 유지하기가 곤란하였다. 따라서, 냉매온도를 낮추거나 또는 냉매유량을 증대시켜 냉각능력을 향상시킬 필요가 있고, 이에 수반하여 냉매펌프나 칠러 등의 항온조 부하가 커져 이것이 장치비용 증대의 원인이 되고 있다.
또한, 형성되는 콘택트홀 등의 형상은 기판온도에 의해 크게 변동되기 때문에, 1 장의 기판처리에서도 에칭처리 개시에서 종료까지 동안에 기판온도 상승을 가능한 한 작게 할 필요가 있다. 이를 위해서는 보다 높은 온도의 냉매를 사용 할 수 있도록 냉각기구의 열전달률을 향상시킬 필요가 있음을 알 수 있었다.
그래서, 본 발명자들은 열교환기의 내부구조와 냉각효율의 관계를 조사, 검토하는 중에 유로내에 핀을 배치함으로써 냉각효율이 향상되고, 또 핀을 흐름방향과 평행하게 함으로써 컨덕턴스를 그다지 떨어뜨리지 않고 냉각효율을 개선시킬 수 있음을 알 수 있었다.
또한 냉각효율을 향상시키기 위해서는 핀과 핀의 간격을 가능한 한 작게 하는 것이 바람직하지만, 어느 정도 이상 작아지면 절삭공구가 홈내에 들어갈 수 없게 되어 절삭공구의 폭 이하의 핀구조는 가공할 수 없다는 문제가 있어 고밀도화의 진전은 곤란하였다. 그래서, 핀을 납땜 등에 의해 부착하는 검토도 실시하였는데, 납땜 부분에서 열전달률이 떨어지거나 그 위치결정을 정확하게 할 수 없어 흐름이 불균일해지고, 반대로 기판 면내에서 온도분포가 발생하여 이것이 에칭특성 및 그 균일성에 영향을 끼침을 알 수 있었다.
본 발명은 이러한 문제를 해결하기 위해 이루어진 것으로, 온도컨트롤이 필요한 부분을 효율적으로 냉각 또는 가열하여 원하는 온도로 균일하게 제어할 수 있는 열교환기를 실현하고, 이것을 기판탑재대, 가스방출기구 또는 처리실벽 등에 장착하여 안정된 처리를 연속적으로 실시할 수 있는 표면처리장치를 제공하는 것을 목적으로 한다.
본 발명의 표면처리장치는 배기수단 및 가스도입수단을 구비한 처리실 내부에 기판을 탑재시키는 기판탑재대와, 이 기판탑재대에 대향 배치되어 상기 가스도 입수단에 연결하는 가스방출수단을 구비하고, 상기 가스방출수단에 의해 상기 기판을 향해 방출되는 가스 또는 그 반응생성물에 의해 기판을 처리하는 표면처리장치에서, 상기 기판탑재대, 상기 가스방출수단 또는 상기 처리실은 열교환기를 구비하고, 이 열교환기는 2 장의 판형상체 사이에 분리벽을 설치하여 유로를 형성하고, 이 유로에 유체를 흐르게 하고, 상기 판형상체 또는 상기 판형상체에 접촉하는 부재를 소정 온도로 냉각 또는 가열하는 열교환기로서, 상기 유로 내부의 상기 2 장의 판형상체의 각각에, 유로와 평행 또는 소정 각도로 핀을 형성한 것을 특징으로 한다.
또, 상기 2 장의 판형상체의 적어도 일방에 상기 유로벽이 되는 볼록부를 형성해 두고, 이 2 장의 판형상체를 부착하여 상기 유로를 형성하는 것을 특징으로 한다.
이와 같이 2 장의 판형상체의 각각에 핀을 형성하고 각각의 핀이 서로 사이에 오도록 부착함으로써 유로 내부에 고밀도의 핀을 배치한 열교환기를 구축할 수 있어 열전달률이 크게 향상되고 온도제어성, 면내 균일성이 개선된다. 이와 같은 열교환기를 기판탑재대 및 가스방출수단 등에 사용함으로써 표면처리장치의 처리 균일성 및 안정성이 크게 향상된다. 또한, 유체 순환펌프 및 항온조를 소형화할 수 있기 때문에 장치 전체의 비용저감을 도모할 수 있다.
또한, 핀의 배치구조는 전체 유로에 걸쳐 연속하는 핀을 형성하는 구성이어도 되고, 또 소정 길이의 핀을 소정 간격을 두고 배치한 구성이어도 된다.
또, 상기 2 장의 판형상체에 형성된 각각의 핀이 유체의 흐름방향의 수직방 향에서 보아 서로 겹치도록 배치한 것을 특징으로 한다. 이와 같이 구성함으로써 열전달계수는 한층 향상된다. 또한, 완전히 유체 내부를 분단하지 않는 구조로 하였기 때문에, 핀으로 분할된 각각의 소유로 사이에서 유체가 이동하여 소유로의 컨덕턴스가 다른 경우라도 온도 균일성이 향상된다.
상기 판형상체의 면내에 있어서, 상기 유로의 단면적을 동일하게 하고, 동시에 상기 유로를 이 면내에 균일하게 배치하는 것이 바람직하다.
또, 상기 유로의 유체 흐름방향과 수직인 단면에서 유체와 접촉하는 길이가 핀이 없는 경우에 비해 3 배 이상으로 한 것을 특징으로 한다. 즉, 유체와의 접촉면적을 3 배 이상으로 함으로써, 예컨대 기판탑재대의 경우 기판 면내에서 0.2℃ 이하의 온도 균일성을 달성할 수 있다.
또한, 상기 유로내에 상기 2 장의 판형상체를 연결하는 연결핀을 형성하고, 이 연결핀을 상기 핀의 폭보다 두껍게 한 것을 특징으로 한다. 연결핀을 유로의 일부에 형성함으로써 일방의 판형상체로부터의 입열이 연결핀을 통하여 타방의 판형상체로 전달되기 때문에, 예컨대 기판의 냉각기구와 같이 일방의 판형상체로부터 입열되는 경우에 바람직하게 적용된다.
발명의 실시형태
본 발명의 실시형태를 도면을 참조하여 설명한다.
본 발명의 제 1 실시형태를 도 1 에 나타낸다. 도 1 은 본 발명의 표면처리장치에 사용되는 열교환기의 일부를 나타내는 모식적 단면도이다.
도 1a 에 나타내는 바와 같이, 열교환기는 2 장의 판형상체 (50,60) 로 구성 되고, 이 사이의 공간이 분리벽 (유로벽) (62) 에 의해 구분되어 유로 (70) 가 형성되어 있다. 유로의 양단부에는 도시하지 않은 유체 도입구 및 배출구가 형성된다. 또, 유로내의 상판 (50) 및 하판 (60) 에는 다수의 핀 (51,61) 이 장착되어 있다.
이 핀의 높이는 상판 핀 (51) 및 하판 핀 (61) 의 선단부가 겹치도록 설정된다. 이와 같이 상판 핀 (51) 및 하판 핀 (61) 으로 유로내를 완전히 분단하지 않는 이유는, 각 핀으로 구획된 소유로의 컨덕턴스가 다른 경우라도 각 소유로 사이에서 유체가 왕래하여 열교환기 전체에서의 냉각능력을 균일화시키기 위해서이다.
또한, 각각의 핀의 길이에는 특별히 제한은 없다. 소정 길이의 핀을 소정 간격을 두고 유로 전체 길이에 걸쳐 형성해도 되고, 또 유로 전체 길이의 핀을 형성해도 된다. 핀은 도면에 나타내는 바와 같이 유체의 흐름방향과 평행하게 형성되지만, 핀의 길이가 짧은 경우는 흐름방향과 소정 각도 (예컨대, 30°정도 이하) 로 배치해도 된다.
여기에서, 핀의 피치 (P) 는 5㎜ 이하로 하는 것이 바람직하고, 3㎜ 이하로 하는 것이 보다 바람직하다. 또, 핀의 높이 (H) 는 10∼20㎜ 로 하는 것이 바람직하고, 이와 같은 높이를 갖는 핀을 고밀도로 설치함으로써 유체와 상판 및 하판의 열전달은 크게 개선되어, 예컨대 상판에 접촉되는 기판 등의 온도를 정밀도 있게 제어할 수 있다.
또한, 유로의 단면에서 유체와 접촉하는 길이를 핀을 형성하지 않는 경우와 비교하여 3 배 이상이 되도록 핀을 형성하는 것이 바람직하다. 이로써, 냉각효율이 향상되고 유체 순환용 펌프 및 칠러 등의 항온조의 부하가 감소하여 소형ㆍ간이한 것을 사용할 수 있다. 또, 후술하는 바와 같이 에칭장치의 기판탑재대에 사용함으로써 냉매의 유량, 온도를 종래와 동일한 것을 사용해도 에칭처리장치의 기판온도의 면내 분포를 ±0.2℃ 이하를 달성할 수 있어 0.13㎛ 의 디자인룰로 ±1.0% 이하의 에칭 균일성을 얻는 것이 가능해진다.
이상과 같은 고밀도의 핀을 갖는 열교환기는 핀의 간격에 대한 높이의 비가 커져 절삭공구가 들어갈 수 없기 때문에 다음과 같이 하여 제작한다.
도 1b 에 나타내는 바와 같이 하판 (60) 과 상판 (50) 양쪽에 절삭공구가 들어갈 수 있는 한도의 핀을 형성한다. 즉, 하판 부재 (60) 를 절삭가공하여 소정 간격을 두고 핀 (61) 및 유로벽 (62) 이 되는 돌출부 (62') 를 형성한다. 한편, 상판 부재 (50) 도 마찬가지로 절삭가공하여 핀 (51) 을 형성한다. 이어서, 하판 (60) 과 상판 (50) 을 핀이 마주하도록 끼워맞춰 하판의 돌출부 (62') 상단면과 상판과의 접촉부를 납땜 또는 접착에 의해 고정시킨다. 여기에서, 접착면에 은납 등을 부착하여 겹치게 하고 위에서 레이저를 조사하여 은납을 녹여 접착시키는 방법이 바람직하게 사용된다. 이 방법에 의해 은납의 양을 줄일 수 있고, 또 은납이 녹아 1 지점에 모여 유로를 막는다는 문제도 회피할 수 있다. 이와 같이 하여 절삭공구가 들어갈 수 있는 한도의 절반정도보다 좁은 간격의 핀을 형성하는 것이 가능해져 열전달률이 큰 열교환기를 제작하는 것이 가능해진다.
도 1 의 예에서는 모든 핀이 대향되는 판형상체에 접속하지 않는 구조로 하 였지만, 핀의 일부를 대향되는 판형상체에 접속하는 연결핀구조로 해도 된다. 이 경우, 연결핀은 통상적인 핀보다 넓은 폭으로 하는 것이 바람직하고, 이와 같은 연결핀을 형성함으로써 예컨대 상판측으로부터 들어오는 열을 연결핀을 통하여 하판측으로 전달시킬 수 있게 되어 연전달을 더욱 크게 할 수 있음과 동시에 열교환기의 기계적 강도를 증대시킬 수 있다.
여기에서, 유로내에 7 개의 핀을 형성한 도 1 의 열교환기와, 핀을 형성하지 않는 종래의 열교환기의 성능을 구체적으로 비교한다.
도 1 의 구조의 열교환기는 유로의 높이 및 폭이 각각 17㎜ 및 21.4㎜ 로, 이 유로 안에 높이 15㎜, 폭 1.8㎜ 의 핀이 1.1㎜ 의 간격을 두고 7 개 설치된 구조를 갖고 있고, 이와 같은 고밀도의 핀구조를 갖는 열교환기는 상기 서술한 방법으로 제작한다.
이 유로에 이하에 나타내는 물성의 가르덴(GALDEN) HT135 (아우디먼트 제조) 를 압력 3kgf/㎠, 유량 10 (1/min) 을 흐르게 한 경우, 유체와 상판의 열전달계수 및 온도차는 이하와 같이 하여 구할 수 있다.
유로를 흐르는 상판 또는 하판의 열전달계수 (hc) 는 근사적으로 다음 식으로 부여된다.
hc = 0.023ㆍν-0.4ㆍλ0.6ㆍρ0.4ㆍCp0.4ㆍu0.8ㆍd -0.2
여기에서, ν: 동점도, λ: 열전도도, ρ: 밀도, Cp: 비열, u: 대표속도, d: 대표길이이다.
상기 핀구조에서 유로의 단면길이 (L) 는 286.8㎜ 가 되고, 또 단면적 (S) 는 174.8㎟ 가 된다.
또, 대표길이 (d) 는 유로 단면적을 단면길이의 절반으로 나눈 것이기 때문에,
d = S/(L/2) = 1.218㎜,
유량 (V) 은 V = 1.667ㆍ10-4m3/s 에서
대표속도 u = V/S = 0.954m/s
가 된다.
한편, 핀을 형성하고 있지 않는 종래 구조의 유로에 대해서는
단면길이 (L') = 76.8㎜, 단면적 (S') = 363.8㎟ 에서
대표길이 (d') = 9.47㎜, 대표속도 (u') = 0.458m/s
가 된다.
따라서, 열전달률 (hc) 와 (hc') 의 비는
hc/hc' = (u/u')0.8ㆍ(d/d')-0.2
= (0.958/0.458)0.8ㆍ(1.218/9.48)-0.2
= 2.72
가 되고, 상기 구조의 핀을 형성함으로써 열전달계수는 2.72 배가 됨을 알 수 있다.
여기에서, 가르덴의 물성
동점도 (ν) 10-6㎡/s
밀도 (ρ) 1.73ㆍ103㎏/㎥
열전도도 (λ) 0.065W/mㆍ℃
비열 (Cp) 0.966kJ/㎏ㆍ℃
를 대입하여 열전달계수를 구하면,
hc = 1273W/(㎡ㆍ℃)
가 된다.
예컨대, 에칭장치의 기판탑재대에 이상의 열교환기를 사용한 경우에 대해 고찰한다. 에칭시의 기판에 입사되는 열량 (Q) 을 1.25W/㎠ 로 했을 때의 유체와 상판의 온도차 (ΔT) 는 다음과 같이 하여 구해진다.
도 1 의 열교환기의 열전달은 핀의 면적을 포함하면 (L/L') 배, 즉 3.73 배가 되고, 또한 상판과 하판에서 다시 2 배가 되므로 열전달은 7.47 배가 된다.
따라서, 냉매와 상판 또는 하판 사이의 온도차 (ΔT) 는
Q = hcㆍΔT
1.25ㆍ104(W/㎡) = 1273(W/㎡ㆍ℃)ㆍ7.47ㆍΔT
ΔT = 1.31℃
가 된다.
마찬가지로 핀이 없는 경우의 온도차 (ΔT') 는
ΔT' = 13.5℃
가 된다.
따라서, 도 1 의 핀구조로 함으로써 예컨대 냉각기구의 경우, 유체의 온도를 종래 구조에 비해 12℃ 이상 높게 할 수 있기 때문에, 칠러 등의 항온조의 부하가 작아져 간편한 항온조를 사용하는 것이 가능해진다. 또, 냉매의 유량을 적게 할 수 있어 펌프 및 항온조를 소형화할 수 있다. 또한, 후술하는 바와 같이 에칭형상의 균일성이 향상된다.
본 발명의 제 2 실시형태를 도 2 및 도 3 에 나타낸다.
도 2 는 본 발명의 에칭장치의 한 구성예를 나타내는 모식적 단면도이다.
도 2 에 나타내는 바와 같이, 에칭장치의 처리실 (1) 내에는 대향전극 (가스방출수단) (2) 과, 기판 (40) 을 탑재시키는 기판탑재전극 (7) 이 대향 배치되고 각각 절연체 (12a,12b) 를 통하여 처리실 (1) 에 고정되어 있다. 처리실 내부는 밸브 (13) 를 통하여 배기수단 (도시생략) 에 연결되어 있다. 대향전극 (2) 은 플라스마발생용 제 1 고주파 전원 (14) 에 연결됨과 동시에 가스도입관 (10) 과, 가스봄베, 매스플로 컨트롤러 및 스톱밸브 등으로 이루어지는 가스공급계 (22) 로 구성되는 가스도입수단에 연결되어 있다.
대향전극 (2) 은 그 내부에 형성된 다수의 소구멍 (4a) 을 갖는 가스분산판 (4) 을 1 또는 복수장 배치한 가스분산기구와, 다수의 가스통로 (5a) 를 갖는 열교환기 (5) 와, 가스통로 (5a) 와 연이어 통하는 가스흡출구멍 (6a) 을 갖는 가스판 (6) 으로 이루어지고, 이것들이 원통형상의 프레임체 (3) 내부에 배치, 고정되어 있다. 열교환기 (5) 내부에는 냉매유로 (5b) 가 형성되고 유로내에는 상기 서 술한 바와 같이 핀이 상하판에 장착되어 있다. 냉매는 냉매도입관 (5e) 으로부터 예컨대 프레임체 (3) 에 형성된 배관을 통하여 냉매통로 (5b) 에 공급되고 냉매배출관 (5d) 을 통하여 배출된다.
가스도입관 (10) 을 통하여 대향전극 내부에 공급되는 프로세스가스는 가스분산판 (4) 의 소구멍 (4a) 을 통하여 가스분산기구내의 전체에 균일하게 확산된 후, 열교환기 (5) 의 가스통로 (5a) 를 통하여 가스판 (6) 의 가스흡출구멍 (6a) 으로부터 처리실 (1) 내부로 균일하게 흐르기 시작한다.
한편, 기판탑재전극 (7) 은 내부에 핀이 설치된 냉매유로를 갖는 열교환기 (8) 와, 그 상부에 기판을 정전흡착시키는 정전척 (9) 으로 구성된다. 냉매는 냉매도입관 (8a) 을 통하여 공급되고 냉매배출관 (8b) 을 통하여 배출된다. 이 냉매에 의해 정전척을 통하여 기판은 소정 온도로 냉각된다. 이 기판탑재전극 (7) 은 기판의 바이어스제어용 제 2 고주파 전원 (15) 및 기판정전흡착용 직류 전원 (17) 에 접속되어 있다. 또, 제 2 고주파 전원 (15) 및 직류 전원 (17) 과 기판탑재전극 (7) 사이에는 블로킹 콘덴서 (16) 및 고주파 컷필터 (18) 가 장착되어 서로의 간섭을 방지하고 있다.
또한 기판탑재전극 (7) 에는 기판반출입시에 기판 (40) 을 상하로 이동시키기위한 밀어올림핀 (19) 이 통과하는 관통구멍 (20) 이 형성되고, 관통구멍은 벨로스 (21) 및 바닥판 (21a) 에 의해 대기와 차단되어 있다. 이 바닥판 (21a) 에는 밀어올림핀 (19) 이 고정되어 있다.
여기에서, 기판탑재전극 (7) 을 구성하는 열교환기 (8) 를 도 3 을 참조하여 설명한다.
도 3 은 열교환기 (8) 의 하판을 나타내는 횡단면도이다. 분리벽 (유로벽) (62) 에 의해 유로가 형성되고, 냉매는 냉매도입구 (8a) 로부터 유로 (8c) 를 통하여 배출구 (8b) 로 흐르기 시작한다. 이 유로내에 형성된 핀 (61) 에 의해 냉매와의 접촉면적이 증대하여 냉각효율이 향상된다. 또한, 도면에는 나타내고 있지 않지만, 상판측에도 핀이 형성되어 있어 하판 핀 (61) 사이에 삽입되도록 형성되어 있다.
이와 같이 유로에 핀을 형성하는 구조는 유로를 길게 하는 경우에 비해 컨덕턴스를 크게 할 수 있어 유량을 증대시키는 것이 가능해진다. 이 결과, 냉각효율이 크게 향상된다. 또, 도 3 에 나타내는 바와 같이 유로를 도입구 (8a) 및 배출구 (8b) 를 연결하는 선에 대해 대칭으로 함으로써 2 개 유로의 컨덕턴스는 동일해지기 때문에 기판온도의 균일성은 더욱 향상된다.
또한, 도 3 의 핀은 유로 전체 길이에 걸쳐 연속되는 것은 아니고 분할되어 있는데, 이와 같은 구조의 경우, 분단위치 (63) 에서 다음 분단위치까지의 핀으로 구분된 각 소유로 길이의 차이는 평균의 ±20% 이하로 하는 것이 바람직하다. 이와 같은 범위로 함으로써 열전달의 차이에 기인하는 온도차를 저감시켜 0.13㎛ 디자인룰에 대응할 수 있다.
또, 기판탑재전극에는 밀어올림핀의 관통구멍 (20) 이 형성되는데, 이 관통구멍은 평행하게 흐르는 소유로의 안쪽 중심에 가까운 부분에 배치하는 것이 바람직하고, 내측 컨덕턴스와 외측 컨덕턴스의 차가 캔슬되어 동일한 정도의 컨덕턴스 가 되어 기판온도의 균일성은 더욱 향상된다.
또한, 핀과 유로벽이 대향되는 위치에서 컨덕턴스 조정갭 (64) 을 형성하여 핀간의 소유로 사이에서의 컨덕턴스 차를 조정할 수도 있다. 도면의 경우, 핀과 유로벽의 갭은 내주측의 핀일수록 좁아지도록 되어 있다. 또, 소유로 길이의 차를 보충하도록 각 소유로 폭을 조정할 수도 있다.
이상과 같은 구조로 함으로써 냉각효율이 높고 열교환기 면내의 온도균일성이 우수한 열교환기를 실현할 수 있다.
도 2 의 장치를 사용한 에칭처리는 예컨대 다음과 같이 실시한다. 벨로스 (21) 의 바닥판 (21a) 을 구동기구로 밀어올려 밀어올림핀 (19) 을 상승시킨다. 이 상태로 게이트밸브 (도시생략) 를 통하여 기판을 유지한 로봇핸드를 삽입하여 기판을 밀어올림핀 (19) 위에 탑재시킨다. 계속해서, 밀어올림핀을 하강시키고 기판 (40) 을 정전척 (9) 위에 탑재시키고 직류 전원 (17) 으로부터 소정 전압을 인가하여 기판을 정전흡착시킨다.
이어서, 가스공급계 (22) 로부터 가스도입관 (10), 대향전극 (2) 을 통하여 프로세스가스를 처리실 (1) 내에 공급하여 소정 압력으로 설정한다. 그 후, 대향전극 (2) 에 제 1 고주파 전원 (14) 으로부터 VHF 대 (예컨대 60㎒) 의 고주파 전력을, 기판탑재전극 (7) 에는 제 2 고주파 전원 (15) 으로부터 HF 대 (예컨대, 1.6㎒) 의 고주파 전력을 각각 인가한다. VHF 대의 고주파 전력에 의해 고밀도의 플라스마가 발생하여 에칭에 기여하는 활성종이 생성된다. 한편, HF 대의 고주파 전력에 의해 이온에너지를 플라스마 밀도와는 독립적으로 제어할 수 있다. 즉, 2 개의 고주파 전력을 적절하게 선택함으로써 목적으로 하는 에칭특성을 얻을 수 있다.
이상 서술한 바와 같이 본 발명의 기판탑재전극의 냉각효율은 높기 때문에 종래에 비해 냉매온도를 높게 설정하는 것이 가능해진다. 이로써, 냉각기구의 부하를 저감시킬 수 있다는 이점뿐만 아니라, 에칭특성이 크게 개선되어 안정된 에칭처리를 생산성있게 실시할 수 있게 된다. 이것을 이하에 설명한다.
도 4 는 다수의 기판을 연속적으로 반입, 에칭처리, 반출을 반복 실시하는 경우에 기판탑재전극의 표면온도가 변화되는 모양을 나타낸 개념도이다. 또한, 규소와 같은 기판의 경우는 열전도도가 높기 때문에 기판탑재전극 표면과 동일한 온도변화를 하는 것으로 생각할 수 있다. 도면의 실선과 파선은 각각 본 실시형태 및 종래의 열교환기를 사용했을 때의 온도변화이다. 도면에서 to 은 기판 1 장의 처리시간이다.
도 4 가 나타내는 바와 같이 처리를 반복 실시하면, 기판탑재전극 표면온도는 서서히 상승하고 복수 장의 처리를 실시한 후에 정상상태에 도달하여 안정된 에칭처리를 실시할 수 있게 된다. 본 실시형태의 경우, 상기 서술한 바와 같이 처리개시시와 정상상태의 온도차 (TA) 는 종래예의 온도차 (TB) 와 비교하여 작고 또 단시간에 정상상태에 도달한다 (tA<tB). 따라서, 정상상태에 도달할 때까지 흐르는 더미기판의 장수도 적어지고 처리의 낭비를 저감시킬 수 있어 생산성이 향상하게 된다.
또, 정상상태에 도달한 후에도 1 장의 처리기간 (to ) 의 기판의 온도 상승량 (T') 및 상승하기까지의 시간 (t') 에 의해 에칭형상 및 에칭속도는 크게 변동함을 할 수 있다.
이것은 에칭처리중에 생성되는 에칭가스의 폴리머막의 양이 온도에 의해 크게 변동하기 때문으로 생각된다. 막 부착량이 적정한 경우, 홀내의 측벽 등에 부착되어 가로방향의 에칭을 억제하여 수직에 가까운 측벽을 갖는 홀형상을 형성하는 것이 가능해지지만, 다량으로 생성, 부착되면 깊이방향의 에칭속도가 저하되고 편차가 생겨 경우에 따라서는 에칭이 정지하게 된다. 이로 인해, 기판온도에 따라 에칭가스에 첨가하는 산소 가스량이나 RF 파워 등의 처리조건의 적정화가 실시된다. 그러나, 종래예와 같이 기판의 온도 상승량 (TB') 이 크고 또한 안정화되기까지의 시간 (tB') 이 긴 처리조건에서는 콘택트홀직경의 미소화에 대응할 수 없어 산소 가스량 등의 적정화에서는 수직인 측벽을 갖는 홀을 형성하는 것이 불가능하였다.
이에 대해 본 실시형태의 경우, 기판온도변화는 작고 (TA'<TB') 또한 단시간에 안정화되기 때문에 (tA'<tB'), 적정화가 용이해져 높은 애스펙트비의 미소한 콘택트홀이어도 수직인 측벽을 형성하는 것이 가능해진다.
일례로서 도 2 에 나타낸 장치를 사용하여 SiO2 막의 에칭을 실시했을 때의 기판온도와 에칭속도의 관계를 도 5 에 나타낸다.
에칭가스로서 C4F8(13.3㎖/min)/Ar(300㎖/min)/O2(6㎖/min) 를 사용하고 압력을 4㎩로 하고, 대향전극에 1.8㎾(60㎒), 기판탑재전극에 1.8㎾(16㎒) 의 전력을 공급하여 에칭을 실시하였다. 여기에서, 정전척과 기판간의 열전달을 더욱 개선시키기 위해 정전척의 정전흡착력은 1kgf/㎠ 로 하고 관통구멍 (20) 으로부터 4㎪, 0.5sccm 의 He 가스를 기판 이면에 공급하였다. 또한, 대향전극은 60℃ 로 하였다.
예컨대, 기판온도가 50℃ 인 경우, 기판 면내의 온도차를 0.5℃ 이하로 억제함으로써 0.13㎛ 의 콘택트홀을 1% 이하의 균일성으로, 또한 수직인 측벽을 갖는 콘택트홀을 형성하는 것이 가능해졌다.
또한, 도 3 에 나타낸 구조의 열교환기는 예컨대 에칭장치의 가스방출수단 (대향전극) 에도 바람직하게 사용된다.
이상은 기판을 냉각하기 위해 열교환의 유체로서 냉매를 사용하는 경우에 대해 설명했지만, 냉매 대신에 온도가 높은 온매를 사용함으로써 기판 등을 고온으로 유지할 수 있음은 물론이다. 또한, 열교환에 사용하는 유체에는 특별히 제한은 없으며, 상기 가르덴 이외에 물이나 플로리나토 (상품명) 등 다양한 유체를 사용할 수 있다. 또, 열교환기의 판형상체도 평판일 필요는 없고 만곡하는 것이어도 된다.
본 발명의 열교환기 및 기판탑재대는 이상 서술한 에칭장치에 한정되지 않고, 플라스마 CVD 장치, 열 CVD 장치 등의 대향전극, 가스방출수단 및 기판지지기 구 외, 처리용기의 벽 등의 온도조정에 바람직하게 적용할 수 있는 것이며, 또한 이온주입장치, 어닐장치 등에 대해서도 동일하며, 또 노광장치의 기판탑재대에도 적용할 수 있는 것이다.
이상 서술한 바와 같이, 본 발명에 의해 고밀도의 핀이 열전달을 실시하기 때문에, 예컨대 기판온도를 효율적으로 제어하여 소정 온도로 유지하는 것이 가능해진다. 또, 기판을 균일하게 냉각 가열할 수 있기 때문에 기판온도가 일정하게 유지되어 처리균일성이 향상됨과 동시에, 예컨대 기판지지에 정전척을 사용하는 경우라도 그 구부러짐이나 균열 등을 방지할 수 있다.
이로써, 에칭처리에서는 에칭속도나 레지스트 선택비, 홀내 선택비, 콘택트홀형상이나 잔사량을 기판 전면에서 균일화시킬 수 있다. 또, 열 CVD, 플라스마 CVD 처리에서도 퇴적속도의 균일성을 얻을 수 있고, 애싱처리에서는 애싱속도의 균일성을 보다 한층 높게 균일화를 실현시킬 수 있다.

Claims (7)

  1. 배기수단 및 가스도입수단을 구비한 처리실 내부에, 기판을 탑재시키는 기판탑재대와, 이 기판탑재대에 대향 배치되어 상기 가스도입수단에 연결하는 가스방출수단을 구비하고, 상기 가스방출수단에 의해 상기 기판을 향해 방출되는 가스 또는 그 반응생성물에 의해 기판을 처리하는 표면처리장치에 있어서,
    상기 기판탑재대 또는 상기 가스방출수단은 열교환기를 구비하고, 이 열교환기는,
    제 1 판형상체와,
    상기 제 1 판형상체에 대향하는 제 2 판형상체와,
    상기 제 1 판형상체와 상기 제 2 판형상체의 사이에, 유로를 형성하기 위해 설치된, 단부를 갖지 않는 유로벽과,
    상기 유로에 유체를 도입하기 위한 유체 도입구와,
    상기 유로로부터 유체를 배출하기 위한 유체 배출구와,
    상기 유로내의 상기 제 1 판형상체에, 단부를 갖는 원호상의 핀을 상기 유로를 따라 복수, 배치한 제 1 핀과,
    상기 유로내의 상기 제 2 판형상체에, 단부를 갖는 원호상의 핀을 상기 유로를 따라 복수, 배치한 제 2 핀을 갖고,
    상기 제 1 핀과 상기 제 2 핀은, 교대로 이웃하여 배치되고,
    상기 제 1 핀의 높이와 상기 제 2 핀의 높이는 모두, 상기 제 1 판형상체와 상기 제 2 판형상체의 거리보다 작게 하고,
    상기 유체 배출구는, 상기 제 1 판형상체 또는 제 2 판형상체에 있어서, 이웃하는 상기 원호상의 핀 사이에 설치한 것을 특징으로 하는 표면 처리 장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 제 1 판형상체와,
    상기 제 1 판형상체에 대향하는 제 2 판형상체와,
    상기 제 1 판형상체와 상기 제 2 판형상체의 사이에, 유로를 형성하기 위해 설치된, 단부를 갖지 않는 유로벽과,
    상기 유로에 유체를 도입하기 위한 유체 도입구와,
    상기 유로로부터 유체를 배출하기 위한 유체 배출구와,
    상기 유로내의 상기 제 1 판형상체에, 단부를 갖는 원호상의 핀을 상기 유로를 따라 복수, 배치한 제 1 핀과,
    상기 유로내의 상기 제 2 판형상체에, 단부를 갖는 원호상의 핀을 상기 유로를 따라 복수, 배치한 제 2 핀을 갖고,
    상기 제 1 핀과 상기 제 2 핀은, 교대로 이웃하여 배치되고,
    상기 제 1 핀의 높이와 상기 제 2 핀의 높이는 모두, 상기 제 1 판형상체와 상기 제 2 판형상체의 거리보다 작게 하고,
    상기 유체 배출구는, 상기 제 1 판형상체 또는 제 2 판형상체에 있어서, 이웃하는 상기 원호상의 핀 사이에 설치한 것을 특징으로 하는 열교환기.
KR1020030011080A 2002-02-28 2003-02-21 열교환기 및 이 열교환기를 구비한 표면처리장치 KR100892900B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002054863A JP3921234B2 (ja) 2002-02-28 2002-02-28 表面処理装置及びその製造方法
JPJP-P-2002-00054863 2002-02-28

Publications (2)

Publication Number Publication Date
KR20030071498A KR20030071498A (ko) 2003-09-03
KR100892900B1 true KR100892900B1 (ko) 2009-04-15

Family

ID=27750986

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030011080A KR100892900B1 (ko) 2002-02-28 2003-02-21 열교환기 및 이 열교환기를 구비한 표면처리장치

Country Status (5)

Country Link
US (2) US7513063B2 (ko)
JP (1) JP3921234B2 (ko)
KR (1) KR100892900B1 (ko)
CN (1) CN1277951C (ko)
TW (1) TWI226425B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190022358A (ko) * 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 냉매용의 유로를 가지는 부재, 냉매용의 유로를 가지는 부재의 제어 방법 및 기판 처리 장치

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100425467B1 (ko) * 2001-09-29 2004-03-30 삼성전자주식회사 반도체소자를 위한 건식 식각방법
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
JP4587016B2 (ja) * 2003-05-30 2010-11-24 ソニー株式会社 反応装置とその製造方法、改質装置、電源供給システム
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
JP5188782B2 (ja) * 2007-11-13 2013-04-24 月島機械株式会社 プラズマcvd装置及びプラスチック表面保護膜の形成方法
JP5003523B2 (ja) * 2008-02-15 2012-08-15 東京エレクトロン株式会社 熱処理装置、熱処理方法、塗布、現像装置及び記憶媒体
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
WO2010026840A1 (ja) * 2008-09-02 2010-03-11 株式会社ラスコ 熱交換装置
US8294068B2 (en) * 2008-09-10 2012-10-23 Applied Materials, Inc. Rapid thermal processing lamphead with improved cooling
JP5406848B2 (ja) * 2008-10-29 2014-02-05 積水化学工業株式会社 大気圧プラズマ処理装置
JP5437168B2 (ja) * 2009-08-07 2014-03-12 東京エレクトロン株式会社 基板の液処理装置および液処理方法
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5996381B2 (ja) * 2011-12-28 2016-09-21 東京エレクトロン株式会社 基板処理装置および基板処理方法
CN104137248B (zh) * 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9267739B2 (en) * 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101841980B1 (ko) * 2012-10-18 2018-03-26 가부시키가이샤 알박 성막 장치
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6224366B2 (ja) * 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9331430B2 (en) 2013-10-18 2016-05-03 JTech Solutions, Inc. Enclosed power outlet
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9779971B2 (en) * 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
EP3281220A1 (de) 2015-04-10 2018-02-14 Ev Group E. Thallner GmbH Substrathalter und verfahren zum bonden zweier substrate
KR20180020177A (ko) * 2015-06-05 2018-02-27 와틀로 일렉트릭 매뉴팩츄어링 컴파니 고 열전달 웨이퍼 지지 받침 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
SG11201805655VA (en) 2016-02-16 2018-07-30 Ev Group E Thallner Gmbh Method and device for bonding substrates
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6715739B2 (ja) * 2016-10-03 2020-07-01 株式会社アルバック ハースユニット、蒸発源および成膜装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10205283B2 (en) 2017-04-13 2019-02-12 JTech Solutions, Inc. Reduced cross-section enclosed power outlet
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
USD841592S1 (en) 2018-03-26 2019-02-26 JTech Solutions, Inc. Extendable outlet
USD843321S1 (en) 2018-03-26 2019-03-19 JTech Solutions, Inc. Extendable outlet
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI722451B (zh) * 2018-06-08 2021-03-21 美商應用材料股份有限公司 支撐組件與使用其之腔室
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7278172B2 (ja) * 2018-10-23 2023-05-19 東京エレクトロン株式会社 基板処理装置
US11532461B2 (en) * 2018-10-23 2022-12-20 Tokyo Electron Limited Substrate processing apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7221737B2 (ja) * 2019-03-04 2023-02-14 日本碍子株式会社 ウエハ載置装置
JP2020149859A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
JP7374016B2 (ja) * 2019-06-18 2023-11-06 東京エレクトロン株式会社 基板処理装置
JP7390922B2 (ja) 2020-02-18 2023-12-04 東京エレクトロン株式会社 カソードユニットおよび成膜装置
USD999742S1 (en) 2021-04-01 2023-09-26 JTech Solutions, Inc. Safety interlock outlet box
JP2023003003A (ja) * 2021-06-23 2023-01-11 東京エレクトロン株式会社 基板支持部及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831755A (ja) * 1994-07-08 1996-02-02 Tokyo Electron Ltd 処理装置
WO1999041778A1 (en) * 1998-02-16 1999-08-19 Komatsu Ltd. Apparatus for controlling temperature of substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5083373A (en) * 1986-04-25 1992-01-28 Hamburgen William R Method for providing a thermal transfer device for the removal of heat from packaged elements
JP3013446B2 (ja) * 1990-12-28 2000-02-28 ソニー株式会社 ドライエッチング方法
JPH06172988A (ja) 1992-12-01 1994-06-21 Nissin Electric Co Ltd スパッタターゲットのバッキングプレート
US5433835B1 (en) * 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
JPH08159681A (ja) 1994-12-01 1996-06-21 Osaka Gas Co Ltd 熱交換器
US5640852A (en) * 1995-10-06 1997-06-24 Atlas; Boris Compact thermal electric heat exchanger
JP3616862B2 (ja) 1996-04-24 2005-02-02 昭和電工株式会社 放熱器
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JPH1047879A (ja) 1996-07-26 1998-02-20 Mitsubishi Materials Corp 熱交換器
US6173508B1 (en) * 1998-06-08 2001-01-16 Charles Strohmeyer, Jr. Sewage organic waste compaction and incineration system integrated optionally with a gas turbine power driver exhaust and/or other separate heat source
JP4444437B2 (ja) * 2000-03-17 2010-03-31 キヤノンアネルバ株式会社 プラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831755A (ja) * 1994-07-08 1996-02-02 Tokyo Electron Ltd 処理装置
WO1999041778A1 (en) * 1998-02-16 1999-08-19 Komatsu Ltd. Apparatus for controlling temperature of substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190022358A (ko) * 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 냉매용의 유로를 가지는 부재, 냉매용의 유로를 가지는 부재의 제어 방법 및 기판 처리 장치
KR102542777B1 (ko) 2017-08-25 2023-06-14 도쿄엘렉트론가부시키가이샤 냉매용의 유로를 가지는 부재, 냉매용의 유로를 가지는 부재의 제어 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
US7513063B2 (en) 2009-04-07
KR20030071498A (ko) 2003-09-03
US7976716B2 (en) 2011-07-12
TW200303977A (en) 2003-09-16
JP3921234B2 (ja) 2007-05-30
US20030159307A1 (en) 2003-08-28
TWI226425B (en) 2005-01-11
JP2003257943A (ja) 2003-09-12
CN1277951C (zh) 2006-10-04
CN1441083A (zh) 2003-09-10
US20090197418A1 (en) 2009-08-06

Similar Documents

Publication Publication Date Title
KR100892900B1 (ko) 열교환기 및 이 열교환기를 구비한 표면처리장치
JP5417338B2 (ja) 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
US10403534B2 (en) Pixilated cooling, temperature controlled substrate support assembly
CN100440422C (zh) 具有动态温度控制的基片支架
KR101737474B1 (ko) 구역에 의존하는 열 효율들을 가지는 온도 제어된 플라즈마 프로세싱 챔버 컴포넌트
US8282769B2 (en) Shower head and plasma processing apparatus having same
CN111146134B (zh) 基板载置台、基板处理装置以及基板处理方法
JP2004282047A (ja) 静電チャック
JPH07335630A (ja) 真空処理装置
US20220199378A1 (en) Electrostatic chuck with spatially tunable rf coupling to a wafer
JP2011040528A (ja) プラズマ処理装置
KR101342990B1 (ko) 배치식 기판 처리 장치 및 이를 이용하는 기판 처리 방법
KR100493903B1 (ko) 플라즈마처리챔버용온도제어방법및장치
JP2010199421A (ja) プラズマ処理装置およびプラズマエッチング方法
KR20080076432A (ko) 플라즈마 처리 장치
KR20210039759A (ko) 기판 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130321

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140319

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 9