CN101842877B - 用于半导体处理室的温度控制模块及控制元件温度的方法 - Google Patents

用于半导体处理室的温度控制模块及控制元件温度的方法 Download PDF

Info

Publication number
CN101842877B
CN101842877B CN2008801146840A CN200880114684A CN101842877B CN 101842877 B CN101842877 B CN 101842877B CN 2008801146840 A CN2008801146840 A CN 2008801146840A CN 200880114684 A CN200880114684 A CN 200880114684A CN 101842877 B CN101842877 B CN 101842877B
Authority
CN
China
Prior art keywords
temperature
temperature control
control modules
heat transfer
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008801146840A
Other languages
English (en)
Other versions
CN101842877A (zh
Inventor
拉金德尔·德辛德萨
亨利·波沃尔尼
杰瑞·K·安托里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101842877A publication Critical patent/CN101842877A/zh
Application granted granted Critical
Publication of CN101842877B publication Critical patent/CN101842877B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1919Control of temperature characterised by the use of electric means characterised by the type of controller
    • G05D23/192Control of temperature characterised by the use of electric means characterised by the type of controller using a modification of the thermal impedance between a source and the load
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种用于半导体处理室的温度控制模块包含导热元件本体、该元件本体中的一个或多个沟道以及与其同心的一个或多个管,从而充满空隙的气体围绕该管。通过使热传递液体在该管中流动并调整该空隙中的气体压强,该元件本体的局部温度可以被精确控制。一个或多个加热元件可以被排列在每个区域中而热传递液体可以被传过该管以通过激活该加热元件和/或改变该空隙中的该气体的压强而影响每个区域的加热或冷却。

Description

用于半导体处理室的温度控制模块及控制元件温度的方法
背景技术
等离子体处理装置被用于使用包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、离子注入和光阻去除等技术处理衬底。用于等离子体处理的一种类型的等离子体处理装置包括包含上下电极的反应室。在该电极之间建立电场以将处理气体激励到等离子态以在该反应室中处理衬底。由于更小的特征尺寸和新材料的应用,需要对等离子体处理装置进行改进以控制等离子体处理的条件。 
发明内容
一种用于半导体处理室的温度控制模块包含导热元件本体、该元件本体中的一个或多个沟道以及一个或多个管,其中每个管与各自的沟道同心。在该管的外表面和该沟道的内表面之间的空隙适于容纳一定量的加压气体。液体源连接于该管并可操作以使液体流过该管。气体源和真空泵连接于该空隙。该气体源可操作以响应控制器增加每个空隙中的静态气体压强,而该真空泵可操作以响应该控制器排空每个空隙。温度传感器适于测量与该导热元件本体热连通的等离子体处理元件的温度并向该控制器提供信息。 
附图说明
图1是等离子体处理装置的示例性实施方式的横截面视图。 
图2A-2F描绘了热控制模块的多个实施方式。 
图3A-3B描绘了连接于等离子体处理元件的热控制模块的一个实施方式。 
图4是包括该热控制模块的等离子体处理装置的一个示例性实施方式的横截面视图。 
图5A和5B是该热控制模块的试验性的加热和冷却速度的图表。 
具体实施方式
在处理半导体衬底时,期望对等离子体参数(比如等离子体化学物质、离子能量、密度和分布、电子温度等等)的控制以改变等离子体处理结果。除了这些等离子体参数的控制之外,约束该等离子体的等离子体室中的各表面的温度也可用于控制等离子体化学物质并因此控制半导体衬底(比如晶片)的处理结果。 
图1描绘了等离子体处理装置的喷淋头电极总成10的示例性实施方式,半导体衬底(例如,硅晶片)在该等离子体处理装置中被处理。喷淋头电极总成10包括喷淋头电极,该喷淋头电极包括上电极12、固定于上电极12的支撑构件14和热控制板16。 
热控制板16可通过孔22用合适的紧固件20(例如,螺栓、螺杆等等)固定于顶板18,孔22延伸贯穿顶板18并进入热控制板16。优选地,热控制板16是由金属材料(比如铝、铝合金等)制成的。热控制板16可以包含径向延伸的气体分配沟道24和轴向延伸的通道26以将处理气体分布到支撑构件14和热控制板16之间的增压室(plenum)28。 
包括下电极和可选的静电夹持电极的衬底支座30位于该等离子体处理装置的真空处理室中的上电极12下方。经受等离子体处理的衬底32被以机械或静电方式夹持到衬底支座30的上支撑表面34上。对喷淋头电极12和衬底支座30的温度的控制可通过在其中包含温度控制模块来进行。 
等离子体蚀刻处理中使用的喷淋头电极总成10的温度从等离子体处理开始到结束有非常宽的变化,从约50℃到约400℃。当在单一晶片等离子体蚀刻室中蚀刻一连串的晶片时,观察到加射频(RF)电力的喷淋头电极的各部分的温度随着时间变化而该喷淋头电极的中心部分由于该加射频电力的喷淋头电极产生的热而变得比边缘部分更热。例如,该喷淋头电极的中心和边缘之间的温度差可以到约100℃。当该电极在更高功率级(例如,3000到6000瓦)上运转时,这种温度差异更加显著并可能导致等离子体蚀刻中的不均匀性。因此,该加射频电力的喷淋头电极的更少的温度差异可以在生产过程中提供对晶片的更均匀的等离子体蚀刻。 
同样地,在衬底32的蚀刻过程中,等离子体气体的活性离子与该半导体晶片的正面上的部分材料发生化学反应,使得该衬底的中心和边缘之间的温度差达到50℃。该晶片上每个点处的局部晶片温度和化学反应速率互相关联从而如果在该晶片整个正面上该晶片的温度差别太大的话,可能带来该晶片的正面上的材料的非均匀蚀刻。因此,需要具有保持均匀的衬底温度的能力的衬底支座30。 
考虑到跨越各等离子体处理元件(例如喷淋头电极总成10或衬底支座30)的温度差异(这可能是由使用过程中产生的热导致的),本文所述的温度控制模块通过使该元件的中心和边缘部分保持在要求的温度范围内而提供更好的处理结果。优选地,该元件从中心到边缘的温度差异小于100℃(例如,<75℃,<50℃,<25℃,<100 ℃或<5℃)。该温度控制模块优选地包括可独立控制的加热/冷却区以最小化跨越该喷淋头电极总成10或衬底支座30的温度差异。 
图2A-2B描绘了具有加热/冷却区102A、102B、102C的温度控制模块100,该加热/冷却区102A、102B、102C被加热或冷却以提供暴露于等离子体的元件(比如晶片支座30或喷淋头电极总成10)的均匀温度。图2A描绘了温度控制模块100的三维透视局部视图。图2B描绘了温度控制模块100的横截面视图。图2C描绘了温度控制模块100的俯视图。图2D-2F描绘了温度控制模块100的附加实施方式的横截面视图。 
如图2A-2B所示,温度控制模块100包括多个沟道104A、104B、104C,每个具有位于或嵌入导热元件108中的环形内表面106A、106B、106C(图2B中所示)。在一个实施方式中,导热元件108是由铝或铝合金组成的圆板。管110A、110B、110C(具有环形外表面112A、112B、112C)位于沟道104A、104B、104C中每一个的内部。环形空隙114A、114B、114C(如图2B中所示)位于沟道104A、104B、104C的内表面106A、106B、106C和管110A、110B、110C的外表面112A、112B、112C之间。这种结构提供了同心管状布置,其中管110A、110B、110C适于在沟道104A、104B、104C内流动热传递液体。围绕管110A、110B、110C的是环形空隙114A、114B、114C,其适于包含热传递气体,该热传递气体可以被加压以增加导热元件108和热传递液体之间的导热性。 
沟道104A、104B、104C可以相对于导热元件108的中心同心排列以提供同心加热/冷却区102A、102B、102C。为进行冷却,热传递液体可以在管110A、110B、110C内流动。在一个实施方式中,沟道104A、104B、104C可以是三个独立的同心沟道。如图2C中所示,热传递液体分别经由独立的进口116A、116B、116C进入管110A、110B、110C并在导热元件108内以期望的图案(pattern)流动。对 于这种实施方式,传递到在管110A、110B、110C中的热传递液体的热的量可以经由控制该液体的温度和/或流速的控制器分别控制。 
该热传递液体可以是具有适合用于管110A、110B、110C中的热传递特性的任何液体。例如,该热传递液体可以是水(例如,去离子水)、乙二醇、硅油、水/乙二醇混合物等。该热传递液体的冷却性能可以通过使用不同的液体和/或不同液体的混合物、改变该液体流速、和/或改变该液体的初始温度来控制。为进行加热,该热传递液体可以被加热。然而,对于更快的加热和冷却响应时间,冷冻的热传递液体可以在管110A、110B和110C中循环而加热元件120A、120B、120C可以用于增加每个加热/冷却区102A、102B、102C的温度。 
如图2A-2B所示,环形空隙114A、114B、114C在沟道104A、104B、104C的内表面106A、106B、106C和管110A、110B、110C的外表面112A、112B、112C之间形成。环形空隙114A、114B、114C可以容纳加压热传递气体,例如,氦、氖、氩或氮。在一个实施方式中,环形空隙114A、114B、114C的宽度(即,径向尺寸)在约5密耳到约100密耳(约0.005到约0.10英寸)之间,优选为约50密耳(约0.05英寸)。 
支撑结构122可以被放置在管110A、110B、110C的外表面112A、112B、112C和每个沟道104A、104B、104C的内表面106A、106B、106C之间(如图2B的放大区域C所示)。支撑结构122可以包含突出部或具有突起的环。例如,支撑结构122可以是一体成型于管110A、110B、110C的外表面112A、112B、112C的突出部,或者与沟道104A、104B、104C的内表面106A、106B、106C成整体的突出部。支撑结构122防止管110A、110B、110C接触沟道104A、104B、104C的内表面106A、106B、106C。优选地,支撑结构122在管110A、110B、110C的外表面112A、112B、112C和沟道104A、104B、104C的内表 面106A、106B、106C之间保持基本上均匀的空隙114A、114B、114C。为了最小化导热元件108和热传递液体之间的热传导,支撑结构122和内表面106A、106B、106C之间的表面接触被最小化。支撑结构122还可以由热绝缘材料(比如氮化硅或氧化铝)构成。 
温度控制模块100优选地包括与导热元件108热接触的独立控制的加热元件120A、120B、120C。加热元件120A、120B、120C可以与外表面接触或嵌入导热元件108中,其中至少一个加热元件120A、120B、120C位于各自的加热/冷却区中。在一个实施方式中,加热元件120A、120B、120C是电阻加热元件。如果期望进行加热,管110A、110B、110C被空隙114A、114B、114C中的减小的气体压强在热学上隔离。各加热元件允许通过激活加热元件120A、120B、120C中的一个或多个而进行精确的温度控制。 
在一个替代实施方式中,沟道104A、104B、104C可以是单一连续沟道和管110A、110B、110C的部分,且是连续的单一管的部分。该流动通道可以有螺旋、Z形(zig-zag)或具有一个或多个进口和一个或多个出口的其它图案。对于这个实施方式,加热元件120A、120B、120C控制每个加热/冷却区102A、120B、120C的温度。 
在等离子体处理过程中,包含温度控制模块100的元件的外表面温度在该表面的不同区域中可能增加不同的量。由在管110A、110B、110C中流动的热传递液体在每个区域中除去的热量可以通过分别调整空隙114A、114B、114C的热传递气体的静态(static)气体压强控制。通过改变空隙114A、114B、114C内的静态气体压强,热传导率可以在从约60W/m2-K到约600W/m2-K的很宽的范围内变化。例如,如果空隙114A、114B、114C的径向尺寸是约10密耳(约0.010英寸),压强为1托(Torr)的氦气的热传导率是约60W/m2-K。然而,通过将氦气的压强增加到约100托,热传导率增加到约 600W/m2-K。因此,在沟道104A、104B、104C中流动的热传递液体从导热元件108除去热量的能力可以快速实现而通过快速并选择性地减少空隙114A、114B、114C的压强(需要时进行选择性加热)可以保持期望的局部温度。 
如图2B所示,控制器130可操作以通过选择性地改变来自气体源140的环形空隙114A、114B、114C中的热传递气体的静态压强选择性地控制加热/冷却区102A、102B、102C的冷却或使用真空泵150选择性地排空环形空隙114A、114B、114C到真空压强以将热传递液体与每个加热/冷却区102A、102B、102C在热学上隔离。同样,控制器130可操作以通过改变从电力供应160到加热元件120A、120B、120C的电力而控制每个加热/冷却区102A、102B、102C中的加热。例如,电力供应160可以是交流(AC)或直流(DC)电力供应。因此,通过将热传递液体(例如,冷冻去离子水)从液体源170供应到管110A、110B、110C,控制空隙114A、114B、114C中的气体压强,以及在必要时调整加热,每个加热/冷却区102A、102B、102C中的局部温度可以被精确调节。 
在一个实施方式中,温度控制模块100的导热元件108可以通过在浇铸中排列管110A、110B、110C和加热元件120A、120B、120C由浇铸的铝或铝合金形成的。替代地,铝板可以被机加工为浇铸半件(halves)从而沟道104A、104B、104C可以延伸到每个板的一半中。管110A、110B、110C可以位于其中一个板中而另一个板可以被粘结(bonded)或用合适的密封装置机械固定于该板以形成温度控制模块100。该底板可以被机加工为包括用于在沟道104A、104B、104C下接收加热元件120A、120B、120C的缺口。在另一个实施方式中,导热元件108可以是由陶瓷,比如烧结氮化铝(AIN)、碳化硅(SiC),或其它导热材料制成的。 
在一个替代实施方式中,如图2D中所示,温度控制模块100包括安装到导热元件108表面的独立控制的加热元件124A、124B、124C。例如,加热元件124A、124B、124C可以通过焊接或粘合安装。如上所述,适应热应力并传递热量的合成橡胶粘合材料可用于将加热元件124A、124B、124C安装到导热元件108。表面安装的加热元件124A、124B、124C提供将导热元件108浇铸为更薄的元件,从而减少其整体热量的能力。 
图2E-2F描绘了温度控制模块100的更多实施方式,其中导热元件108可以隔断(partitioned)为至少一个加热/冷却区,该加热/冷却区包含超过一个沟道104和/或超过一个加热元件120或表面安装的加热元件124。在图2E的实施方式中,每个加热/冷却区102A、102B包含多个加热元件120和多个沟道104,其具有由环形空隙114围绕的同心管110。在图2F的实施方式中,每个加热/冷却区102A、102B包含多个具有由环形空隙114和安装到导热元件108的表面的表面安装加热元件124围绕的同心管110。 
如图3A-3B所示,导热元件208被隔断为多个加热/冷却区202A、202B、202C,每个区域包含各自的沟道204A、204B、204C和加热元件220A、220B、220C。在替代实施方式(图3中未示)中,导热元件208可以被隔断为超过三个同心区域,每个区域包含超过一个沟道204和/或超过一个加热元件220。 
图3A描绘了固定于等离子体处理室元件280的温度控制模块200的三维投影图。图3B描绘了固定于等离子体处理元件280的温度控制模块200的横截面断面图。例如,等离子体处理元件280可以是热控制板16或衬底支座30,在等离子体处理过程中晶片32支撑在该衬底支座30上。 
跨越等离子体处理元件280的温度控制区域可以被限定为同心区域282A、282B、282C。在等离子体处理过程中,区域282A、282B、282C中的每一个可以经受不同的温度,对等离子体蚀刻的均匀性有负面影响。如图3A和3B所示,温度控制模块200的每个加热/冷却区202A、202B、202C与各自的区域282A、282B、282C热接触。这种结构提供了独立控制区域282A、282B、282C的温度的能力。 
在没有任何机构来调节温度的情况下,在等离子体处理过程中,等离子体处理元件280的区域282C(靠近中心)和区域282A(靠近边缘)之间的温度差可以达到100℃。为了监控温度,温度传感器284A、284B、284C可位于区域202A、202B、202C(图3B)中。例如,嵌入在元件280中的温度传感器284A、284B、284C可以是热电偶、光纤温度传感器,等等。为了实现跨越等离子体处理元件280的更均匀的温度曲线,区域282C可以被加热/冷却区202C选择性地冷却和/或区域282A可以被加热/冷却区202A选择性地加热,如下所述。 
开始时,热传递液体(例如,冷冻去离子水)流过管210A、210B、210C。例如,热传递液体(比如在约20℃或更低温度的冷冻去离子水)可以以在约1加仑每分钟到约3加仑每分钟的流速流过管210A、210B、210C。为了进行独立控制,沟道204A、204B、204C可以是三个独立的同心沟道。该热传递液体可以经由独立的进口进入管210A、210B、210C中以期望的图案流动并经由独立的出口流出,如图2C的实施方式所示。该热传递液体的冷却性能可以通过使用不同的液体和/或不同液体的混合物,改变液体流速,和/或改变被引入管210A、210B、210C的液体的温度来控制。 
为了在热学上隔离管210A、210B、210C,空隙214A、214B、214C中的热传递气体的压强被保持在真空压强(例如,小于50毫托)下从而跨越空隙214A、214B、214C的热传导率小于约50W/m2-K。 例如,空隙214A、214B、214C可以通过真空泵250保持在真空压强下。 
控制器230接收来自温度传感器284A、284B、284C的输入信号。如果区域282A、282B、282C的任一个的温度高于目标温度,控制器230可操作以激活气体源240以选择性地增加相应的空隙214A、214B、214C中的热传递气体的静态压强。静态压强的增加还会增加在管210A、210B、210C中流动的热传递液体的热传导。当区域282A、282B、282C的温度降低到低于目标温度时,控制器230使得真空泵250选择性地将相应的空隙214A、214B、214C排空到真空压强(例如,小于50毫托)以限制到在沟道204A、204B、204C内流动的冷却液体的热传导。 
例如,如果温度传感器284A检测到等离子体处理元件280的区域282A在大于目标温度的温度,那么温度控制器230激活加热/冷却区202A的冷却机构。温度控制器230使得气体源240将相应的空隙214A的气体压强从真空压强(例如,<50毫托)增加到更大的压强(例如,约100托到约200托)。在一个实施方式中,空隙214A中的气体压强是由压强传感器(例如,通用压强控制器)测量的。优选地,更大的气体压强增加将跨越相应的空隙214A的热传导率增加到约500W/m2-K到约600W/m2-K之间。例如,该热传递气体可以是在具有约10密耳(约0.010英寸)的径向尺寸的空隙中在100托的压强下的氦气。通过增加跨越空隙214A的热传导率,流过管210A的热传递液体将热从区域282A传走,由此降低该温度。当区域282A的温度降低到目标温度以下时,控制器230使得真空泵250将空隙214A排空到真空压强(例如,小于50毫托)而加热器220A被激活以向区域282A提供热量。因此,可以实现迅速而精密的温度控制。 
如果区域282A、282B、282C中任何一个的温度降到目标温度以下,控制器230可操作以激活电力供应260以选择性地为一个或多 个加热元件220A、220B、220C加电。在加热过程中,控制器230使得真空泵250将相应空隙214A、214B、214C选择性地排空到真空压强(例如,小于50毫托)以限制到沟道204A、204B、204C中流动的热传递液体的热传导。 
例如,如果温度传感器284A检测到等离子体处理元件280的区域282A下降到目标温度以下,控制器230激活加热/冷却区202A的加热机构。控制器230使得电力供应260向加热元件220A供应电力,其加热区域202A。为了最小化由加热元件220A产生的热量传递到流过管210A而不是加热区域202A的热传递液体,控制器230还使得真空泵250在约60秒或更短时间内将空隙214A排空到真空压强(例如,小于50毫托)。一旦区域202A的温度被加热到目标温度,控制器230终止到加热元件220A的电力。 
图4描绘了喷淋头电极总成410和包括一体的上述温度控制模块的衬底支座430的横截面视图。喷淋头电极总成410包括上电极412,固定于上电极412的支撑构件414和热控制板416。热控制板416包含多个加热元件320和具有同心管310的沟道304,该同心管310用于冷冻热传递液体的流动。空隙314围绕管310并适用于包含热传递气体,其可被加压以增加热控制板416和流过各管的液体之间的导热性。热控制板416包含独立的加热/冷却区302A、302B、302C。 
还是如图4所示,衬底支座430包含加热元件320和沟道304,其具有用于冷冻热传递液体的流动的同心管310和适用于包含加压热传递气体的空隙314。衬底支座430还包含独立的加热/冷却区302D、302E、302F。 
实施例 
执行测试以确定热控制板416(包括加热元件320和具有同心管310的沟道304)的加热速率和冷却速率,作为空隙314中的静态气体压强的函数。热控制板416是通过在该浇铸中排列不锈钢管310和电阻加热元件320由浇铸的铝合金形成的。不锈钢管310是具有在该浇铸内排列的螺旋结构的单一连续管。管310具有单一热传递液体入口和单一出口。管310具有约0.38英寸的外径。通道304的直径是约0.5英寸而空隙314的径向尺寸是约0.06英寸。 
热控制板416被安装在 
Figure GPA00001127659500121
FLEXTM介电等离子体蚀刻系统(由位于加利福尼亚弗雷蒙的Lam Research Corporation制造)中。在测试过程中,使用水作为热传递液体。初始温度在约15℃到约20℃之间的冷却水以约1到约2加仑每分钟的体积流速流入管310。为了确定加热速率,通过向加热元件320施加约6900瓦的功率,热控制板416被从约40℃加热到约200℃,同时静态氦压强从约50毫托到约200托之间变化。加热测试的结果大体如图5所示。为了确定冷却速率,热控制板416在开始时被加热到160℃并被冷却到60℃,而静态氦压强从约50毫托到约200托之间改变,而管310内的循环水在15℃到20℃。加热测试的结果大体如图5B所示。 
图5A描绘了约50毫托的静态氦压强,热控制板416可以在不到8分钟内从40℃加热到约200℃(即,加热速率为约20℃每分钟)。当氦的静态压强被增加到超过50托时,加热到170℃还需要10分钟,由于由加热元件320产生的热通过空隙314中的氦气的传导。图5B描绘了在静态氦压强是约100托到200托的情况下,热控制板416可以在约11分钟内被从约160℃冷却到约50℃(即,约10℃每分钟)。 
尽管参考其具体实施方式详细描述了本发明,然而对本领域的技术人员来说,显然,可以进行各种变化和修改,并利用等同,而不背离所附权利要求的范围。 

Claims (21)

1.一种用于半导体处理室的温度控制模块,包含:
导热元件本体;
在该元件本体中的沟道,该沟道具有内表面;
在该沟道中的管,该管具有外表面;
在该管的该外表面和该沟道的该内表面之间的空隙,该空隙适于容纳一定量的加压热传递气体;
连接于该管并可操作以使热传递液体流过该管的液体源;
控制器;以及
连接于该空隙的气体源和真空泵,该气体源可操作以响应该控制器增加该空隙中的静态气体压强而该真空泵可操作以响应该控制器排空该空隙。
2.根据权利要求1所述的温度控制模块,进一步包含:
连接于该温度控制模块的等离子体处理元件和适于测量该等离子体处理元件的温度并向该控制器提供输入信号的温度传感器。
3.根据权利要求1所述的温度控制模块,其具有多个加热/冷却区,包含:
在该元件本体中的多个沟道;
同心地位于每个沟道中的管;
与该元件本体热接触的多个加热元件,其中每个加热/冷却区包含一个或多个沟道和一个或多个加热元件;以及
适于响应该控制器选择性地向该加热元件供应电力的电力供应。
4.根据权利要求3所述的温度控制模块,其中该多个加热元件被容纳在该导热元件本体内。
5.根据权利要求3所述的温度控制模块,其中该多个加热元件被粘着或焊接于该导热元件本体的表面。
6.根据权利要求3所述的温度控制模块,其中该导热元件本体是由铝、铝合金、氮化铝或碳化硅组成的圆板。
7.根据权利要求6所述的温度控制模块,其中该沟道和加热元件相对于该圆板的中心在不同的径向距离上。
8.根据权利要求3所述的温度控制模块,进一步包含在该沟道的该内表面和该管的该外表面之间的支撑结构,该支撑结构适于在该管的该外表面和该沟道的相对内表面之间保持均匀尺寸的环形空隙。
9.根据权利要求8所述的温度控制模块,其中该支撑结构包含在该管或内表面上的突出部或具有突起的环。
10.根据权利要求6所述的温度控制模块,其中该加热区相对于该圆板的中心同心排列。
11.根据权利要求3所述的温度控制模块,其中该空隙具有在5密耳到100密耳之间的径向尺寸。
12.根据权利要求3所述的温度控制模块,其中该导热元件本体固定于喷淋头电极并包含用于处理气体到该半导体处理室的流动的一个或多个气体通道。
13.根据权利要求3所述的温度控制模块,其中该导热元件本体谷底固定于衬底支座。
14.一种控制具有多个区域的等离子体处理元件的温度的方法,包含:
在包含权利要求3的温度控制模块的等离子体处理室中支撑半导体衬底,其中该等离子体处理元件的该多个区域与该温度控制模块的该加热/冷却区热接触;
使液体流过该温度控制模块的该管;
测量该等离子体处理元件的该多个区域的一个或多个的温度;
当该一个或多个区域的温度高于目标温度时,在该空隙的至少一个中将热传递气体的压强增加到增加的压强,并且当该一个或多个区域的温度低于目标温度时,减少该热传递气体的压强;以及
当该一个或多个区域的温度低于目标温度时,在该空隙的一个或多个中保持该热传递气体的压强或将该热传递气体的压强减小到减小的压强并向该加热元件的一个或多个施加电力,并且当该一个或多个区域的温度升高到目标温度以上时,终止该一个或多个区域的温度;
其中跨越该多个区域的温度差小于50℃。
15.根据权利要求14所述的方法,其中增加该热传递气体的压强导致跨越该空隙的热传导率在500W/m2K到600W/m2K之间;减少该热传递气体的压强导致跨越该柱形空隙的热传导率小于60W/m2K;以及该热传递气体是氦、氖、氩或氮。
16.根据权利要求14所述的方法,其中该热传递气体是氦,减小后的压强是1托或更小而增加后的压强在100托到200托之间。
17.根据权利要求14所述的方法,其中该温度差小于25℃。
18.根据权利要求14所述的方法,其中该温度差小于10℃。
19.根据权利要求14所述的方法,其中该温度差小于5℃。
20.根据权利要求14所述的方法,进一步包含:
用固定于该温度控制模块的喷淋头电极总成向该室内引入处理气体;
在该喷淋头电极总成和该衬底之间的该室的一个区域中从该处理气体产生等离子体;以及
用该等离子体处理该衬底。
21.根据权利要求20所述的方法,进一步包含:
在固定于该温度控制模块的衬底支座上支撑该衬底,其中该处理包含等离子体蚀刻。
CN2008801146840A 2007-10-31 2008-10-31 用于半导体处理室的温度控制模块及控制元件温度的方法 Active CN101842877B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US111207P 2007-10-31 2007-10-31
US61/001,112 2007-10-31
PCT/US2008/012394 WO2009058376A2 (en) 2007-10-31 2008-10-31 Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body

Publications (2)

Publication Number Publication Date
CN101842877A CN101842877A (zh) 2010-09-22
CN101842877B true CN101842877B (zh) 2012-09-26

Family

ID=40583389

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801146840A Active CN101842877B (zh) 2007-10-31 2008-10-31 用于半导体处理室的温度控制模块及控制元件温度的方法

Country Status (6)

Country Link
US (2) US8083855B2 (zh)
JP (1) JP5417338B2 (zh)
KR (1) KR101508026B1 (zh)
CN (1) CN101842877B (zh)
TW (1) TWI508129B (zh)
WO (1) WO2009058376A2 (zh)

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
TW200802553A (en) * 2006-05-17 2008-01-01 Eagle Ind Co Ltd Heating apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
TWI508129B (zh) 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
KR101083590B1 (ko) * 2008-09-11 2011-11-16 엘아이지에이디피 주식회사 플라즈마 처리장치
US8474273B2 (en) * 2009-10-29 2013-07-02 Air Products And Chemicals, Inc. Apparatus and method for providing a temperature-controlled gas
US9139910B2 (en) * 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
KR101083967B1 (ko) * 2010-07-16 2011-11-21 (주)위지트 샤워헤드
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US20120073502A1 (en) * 2010-09-27 2012-03-29 Veeco Instruments Inc. Heater with liquid heating element
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
CN103972132B (zh) 2013-01-24 2017-07-11 东京毅力科创株式会社 基板处理装置和载置台
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
JP6276919B2 (ja) * 2013-02-01 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置および試料台
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103334092B (zh) * 2013-06-13 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
TWI527626B (zh) * 2014-01-15 2016-04-01 財團法人工業技術研究院 噴灑頭裝置
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
JP6608923B2 (ja) 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
KR101561875B1 (ko) * 2014-07-07 2015-10-30 (주)나노테크 온도제어 시스템이 적용된 히터 조립체
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101593833B1 (ko) * 2014-10-17 2016-02-12 세메스 주식회사 기판 히팅 유닛 및 이를 포함하는 다이 본딩 장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
KR20180136302A (ko) * 2017-06-14 2018-12-24 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108216694A (zh) * 2017-12-27 2018-06-29 中国科学院国家空间科学中心 一种多设备热真空试验装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7195307B2 (ja) * 2018-05-02 2022-12-23 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10872747B2 (en) 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383881B (zh) * 2018-12-27 2023-03-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP2022532775A (ja) 2019-05-16 2022-07-19 アプライド マテリアルズ インコーポレイテッド 基板裏側の損傷を最小限に抑える方法及び装置
KR102268559B1 (ko) * 2019-07-03 2021-06-22 세메스 주식회사 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
CN110354636A (zh) * 2019-07-05 2019-10-22 四川远方高新装备零部件股份有限公司 一种变压吸附分离柱及其电控系统
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
JP2022016129A (ja) * 2020-07-10 2022-01-21 東京エレクトロン株式会社 載置台、基板を処理する装置、及び基板を温度調節する方法
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
CN112014321A (zh) * 2020-09-24 2020-12-01 青岛迪诺瓦基因科技有限公司 流动性试剂样本用检测装置
JP2022070597A (ja) * 2020-10-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
KR102429782B1 (ko) * 2020-10-29 2022-08-05 (주)광주금형 웨이퍼 가열 및 냉각용 플레이트
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
US20230070804A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN115133144B (zh) * 2022-06-21 2023-03-28 浙江昀邦电池有限公司 一种碱性电池生产线及其工艺
US20240093367A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN118034410A (zh) * 2024-04-12 2024-05-14 广州市巨龙印制板设备有限公司 一种蚀刻液雾化喷淋温度控制装置及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248657A (en) * 1991-05-13 1993-09-28 General Dynamics Corporation, Space Systems Division Method for forming internally helixed high temperature superconductor assembly
US5892207A (en) * 1995-12-01 1999-04-06 Teisan Kabushiki Kaisha Heating and cooling apparatus for reaction chamber

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2440245A (en) * 1944-03-13 1948-04-27 Standard Telephones Cables Ltd Cooling of high-temperature bodies
DE1182362B (de) * 1958-07-12 1964-11-26 Siemens Ag Brennelement fuer vorzugsweise gas- bzw. metallgekuehlte Kernreaktoren
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US5228513A (en) * 1991-05-03 1993-07-20 Indugas, Inc. Convective heat transfer by cascading jet impingement
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
JP3477062B2 (ja) * 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP2002057207A (ja) * 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US7156951B1 (en) * 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
KR100684902B1 (ko) * 2005-05-30 2007-02-20 삼성전자주식회사 온도 조절 장치 및 이를 가지는 기판 처리 장치, 그리고상기 장치의 온도를 제어하는 방법
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
JP4906425B2 (ja) * 2006-07-26 2012-03-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2009084686A (ja) * 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
US20100078151A1 (en) * 2008-09-30 2010-04-01 Osram Sylvania Inc. Ceramic heat pipe with porous ceramic wick
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248657A (en) * 1991-05-13 1993-09-28 General Dynamics Corporation, Space Systems Division Method for forming internally helixed high temperature superconductor assembly
US5892207A (en) * 1995-12-01 1999-04-06 Teisan Kabushiki Kaisha Heating and cooling apparatus for reaction chamber

Also Published As

Publication number Publication date
TW200924017A (en) 2009-06-01
US20120070914A1 (en) 2012-03-22
TWI508129B (zh) 2015-11-11
KR20100098509A (ko) 2010-09-07
US20090111276A1 (en) 2009-04-30
US8083855B2 (en) 2011-12-27
JP5417338B2 (ja) 2014-02-12
JP2011502361A (ja) 2011-01-20
WO2009058376A3 (en) 2009-07-16
KR101508026B1 (ko) 2015-04-08
CN101842877A (zh) 2010-09-22
WO2009058376A2 (en) 2009-05-07
US8216486B2 (en) 2012-07-10

Similar Documents

Publication Publication Date Title
CN101842877B (zh) 用于半导体处理室的温度控制模块及控制元件温度的方法
JP4745961B2 (ja) 温度制御された基板支持体表面を有する基板支持体及びその制御方法並びに半導体処理装置及びその方法
KR101570633B1 (ko) 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
TWI608563B (zh) 半導體處理用之設有平坦加熱器區的加熱板
KR101599339B1 (ko) 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법
TWI553760B (zh) 用於半導體處理之具有二極體平面加熱器區域之加熱板
TWI546408B (zh) 藉由液體控制之多區基板支座而改善基板溫度控制
JP6144263B2 (ja) 半導体処理のための平面熱ゾーンを伴う熱板
US7141763B2 (en) Method and apparatus for rapid temperature change and control
TWI495752B (zh) 具有可作為溫度控制用之流體區的工作支承
CN101018884A (zh) 包含输送工艺气体和射频功率的气体分配单元的等离子处理设备
CN101465312A (zh) 一种用于固定晶片并可区域控温的卡盘装置
US20060243385A1 (en) Device for producing electroconductive passages in a semiconductor wafer by means of thermomigration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant