TWI546408B - 藉由液體控制之多區基板支座而改善基板溫度控制 - Google Patents

藉由液體控制之多區基板支座而改善基板溫度控制 Download PDF

Info

Publication number
TWI546408B
TWI546408B TW098138394A TW98138394A TWI546408B TW I546408 B TWI546408 B TW I546408B TW 098138394 A TW098138394 A TW 098138394A TW 98138394 A TW98138394 A TW 98138394A TW I546408 B TWI546408 B TW I546408B
Authority
TW
Taiwan
Prior art keywords
valve
heat transfer
cold liquid
temperature
liquid
Prior art date
Application number
TW098138394A
Other languages
English (en)
Other versions
TW201033398A (en
Inventor
哈密特 席恩
凱伊斯 卡門登特
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201033398A publication Critical patent/TW201033398A/zh
Application granted granted Critical
Publication of TWI546408B publication Critical patent/TWI546408B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3471Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Description

藉由液體控制之多區基板支座而改善基板溫度控制
本發明係關於基板溫度控制。
電漿處理設備係用以藉下述技術而處理基板:蝕刻、物理氣相沈積法(PVD)、化學氣相沈積法(CVD)、離子佈植及光阻去除。電漿處理中所用的電漿處理設備類型之一包括含有上及下電極的反應室。在該等電極中建立電場,以將處理氣體激發成電漿態而在該反應室中處理基板。因特徵尺寸的縮小及新材料的實施,需要改善電漿處理設備以控制電漿處理的條件。
在一實施例中,提供用於電漿處理設備之反應室中的基板支座。該基板支座包括基底構件及覆蓋該基底構件的熱傳構件。該熱傳構件具有多重區域,其至少包括帶有第一流動通道的第一區及帶有第二流動通道的第二區,液體可經第一流動通道及第二流動通道而循環,以個別加熱及冷卻該熱傳構件的第一區及第二區。靜電夾盤覆蓋著該熱傳構件。該靜電夾盤具有一支撐面,用以在該電漿處理設備之反應室中支撐一基板。冷液源及熱液源係與第一及第二流動通道成流體連通。閥裝置用以藉由調整在第一及第二流動通道中循環之熱液對冷液的混合比例,而獨立地控制第一及第二區中之液體的溫度。控制器控制該閥裝置,以藉由調整在第一及第二流動通道中之熱液對冷液的混合比例,而獨立地控制第一及第二區中的溫度。
在另一實施例中,提供於電漿處理期間控制半導體基板之溫度的方法。如上述,基板支座上支撐著該基板,且該基板與該多重區域熱接觸。在此方法中,液體流經第一及第二流動通道,量測第一區的溫度,且(a)如果該第一區的溫度低於目標溫度,則藉由增加熱液對冷液的混合比例,而升高流經該第一流動通道的液體溫度;或(b)如果該第一區的溫度高於目標溫度,則藉由降低熱液對冷液的混合比例,而降低流經該第一流動通道的液體溫度。同樣地,量測第二區的溫度且(a)如果該第二區的溫度低於目標溫度,則藉由增加熱液對冷液的混合比例,而升高流經該第二流動通道的液體溫度;或(b)如果該第二區的溫度高於目標溫度,則藉由降低熱液對冷液的混合比例,而降低流經該第二流動通道的液體溫度。每一區域內的方位角向溫差最好低於5℃。
在另一實施例中,提供用於電漿處理設備之反應室中的基板支座。該基板支座包括基底構件及覆蓋該基底構件的熱傳構件。該熱傳構件具有帶有第一流動通道的第一區及帶有第二流動通道的第二區。該等流動通道適合於使液體循環,而個別加熱及冷卻該熱傳構件的每一區域。第一共用管路係與第一流動通道成流體連通,且第二共用管路係與第二流動通道成流體連通。第一閥與第一共用管路及來自熱液源的第一供應管路成流體連通。該第一閥係用以控制熱液自熱液源流經該第一共用管路的流量。第二閥與第一共用管路及來自冷液源的第二供應管路成流體連通。該第二閥係用以控制冷液自冷液源流經該第一共用管路的流量。第三閥與第二共用管路及來自熱液源的第一供應管路成流體連通。該第三閥係用以控制熱液流經該第二共用管路的流量。第四閥與第二共用管路及來自冷液源的第二供應管路成流體連通。該第四閥係用以控制冷液流經該第二共用管路的流量。控制器係用以獨立地控制該第一閥及該第二閥,以調整第一流動通道中熱液對冷液的第一混合比;及控制該第三閥及該第四閥,以調整第二流動通道中熱液對冷液的第二混合比。靜電夾盤覆蓋著該熱傳構件。該靜電夾盤具有一支撐面,用以在該電漿處理設備之反應室中支撐一基板。
在另一實施例中,提供用於電漿處理設備之反應室中的基板支座。該基板支座包括基底構件及覆蓋該基底構件的熱傳構件。該熱傳構件具有帶有第一流動通道的第一區及帶有第二流動通道的第二區。該等流動通道適合於使液體循環,以個別加熱及冷卻該熱傳構件的每一區域。供應管路係與第一流動通道及液源成流體連通。第一加熱元件係沿著該供應管路。該第一加熱元件適合於在該液源流出的液體於第一流動通道中循環之前,將該液體加熱至第一溫度。第一傳輸管路係與第一流動通道及第二流動通道成流體連通。該第一傳輸管路適合於使液體自該第一流動通道流至該第二流動通道。第二加熱元件係沿著該第一傳輸管路。該第二加熱元件適合於在液體於第二流動通道中循環之前,將該液體加熱至第二溫度。控制器控制每一加熱元件,以藉由調整每一加熱元件的功率而獨立地控制每一區域的溫度。靜電夾盤覆蓋著該熱傳構件。該靜電夾盤具有一支撐面,用以在該電漿處理設備之反應室中支撐一基板。
為了強化電漿處理設備中基板的電漿處理均勻度,最好控制發生材料沈積及/或蝕刻之基板裸露表面處的溫度分布。在電漿蝕刻處理中,基板溫度中及/或該基板裸露表面處的化學反應速率的變異會在該基板的蝕刻率和蝕刻選擇比及異向性上引起不必要的變異。在材料沈積處理(如CVD處理)中,基板上所沈積材料的沈積速率及組成與特性於沈積期間會明顯地受基板溫度影響。
圖1說明蝕刻用的示範性半導體材料電漿處理設備100。電漿處理設備100包括含有基板支座104的反應室102,電漿處理期間基板支座104上支撐著基板106。反應室102內支撐基板106的基板支座104可包括夾持裝置,最好是靜電夾盤,其係用以於處理期間在基板支座104上夾持基板106。
圖1所示的示範性電漿處理設備100包括噴淋頭電極組件,其具有形成反應室102之壁的頂板108,及附於頂板108的噴淋頭電極110。氣體供應器112經噴淋頭電極110供應處理氣體至反應室102內部。噴淋頭電極110包括多重氣體通道114,其延伸貫穿噴淋頭電極110的厚度,用以將處理氣體注入電漿反應室102中位於噴淋頭電極110及基板支座104之間的空間。氣體供應器112可包括內及外供應管路,其以雙區氣體供給配置方式供給噴淋頭電極110的外部及內部區域。
處理氣體流經噴淋頭電極110而進入反應室102內部。接著,藉著電源116A(例如RF源)驅動噴淋頭電極110,及/或約0.3至約600MHz(如2MHz、13.56MHz、60MHz)之一或多種頻率的電源116B以約0.3至約600MHz(如2MHz、13.56MHz、60MHz)的一或多種頻率驅動基板支座104中的電極,在電漿處理設備100中給予該處理氣體能量,使其成電漿態。可改變噴淋頭電極110所施加的RF功率,以執行不同的處理步驟,例如當供應不同氣體組成至電漿處理設備100時。在另一實施例中,噴淋頭電極110可接地。
在一實施例中,可藉由自二RF源對噴淋頭電極110及/或基板支座104供應RF能量,而在電漿處理設備100內部產生電漿,或噴淋頭電極110可電性接地,並可對基板支座104供應單一或多種頻率的RF能量。
在另一實施例中,如圖2所說明的,感應偶合電漿(ICP)處理設備200可用於藉由在低壓(即低於100mTorr)下供應處理氣體至真空室及對該氣體施加射頻(RF)能量,而在基板上行材料的沈積(如電漿輔助化學氣相沈積法或PECVD)及電漿蝕刻。圖2係ICP電漿處理設備200之實施例的橫剖面視圖。ICP電漿處理設備之例係Lam Research Corporation(位在California的Fremont)所製造的蝕刻或沈積系統。例如,在共同持有的美國專利第4,948,458號中也描述了該ICP電漿處理設備,上述案的內容以引用方式併於本文。反應室202包括基板支座204,用以在反應室202內支撐基板206。介電質窗208形成反應室202的上壁。經由氣體分佈構件210將處理氣體注入反應室202內部。氣體分佈構件210的例子包括噴淋頭、氣體注射器或其它合適的裝置。氣體供應器212經由氣體分佈構件210供應處理氣體至反應室202內部。
一旦處理氣體注入反應室202內部,藉由能量源216供應能量至反應室202內部,而給該處理氣體能量使其成為電漿態。能量源216最好係RF源218A及RF阻抗匹配電路218B所供電的的外部平面天線,以感應偶合RF能量至反應室202。RF功率加至平面天線所產生的電磁場給處理氣體能量,以在基板206上形成高密度(如1010-1012離子/cm3)電漿P。
介電質窗208位於平面天線之下,且氣體分佈構件210位於介電質窗208之下。在氣體分佈構件210及基板206之間產生電漿P,用以基板206的沈積或蝕刻。
於基板的電漿處理期間,電漿氣體的反應性離子化學地與半導體基板(如矽、砷化鎵或磷化銦晶圓)之面上的部分材料反應,而在該基板的中央及邊緣之間產生高達50℃的溫差。局部的基板溫度及該基板上每一點的化學反應速率係有互相關係的,如果該基板整面的溫度差異太大,會產生該基板之面上的非均勻的材料蝕刻或沈積。為減輕此狀況,背側氣體冷卻系統已用於基板支座,以提供該基板支座及該基板支座上所支撐的基板之間的熱傳。
基板支座已包括冷卻劑流動通道,以於處理期間自該基板支座移除熱。在如此的冷卻系統中,在該冷卻劑流動通道中引入已控制溫度及已設定體積流速的冷卻劑。基板支座在該冷卻系統中已包括一供應管路及一回流管路。然而,已確定當自該基板支座移除熱時,會延著通道的長度(自入口至出口)出現顯著的溫度梯度。因此,無法控制基板支座與熱傳氣體及基板接觸之表面的溫度均勻度。基板支座在該基板背側處也提供熱壑。在已知電漿處理設備中,自該基板至該基板支座產生的熱傳已促成整塊基板溫度的不均勻。
對於關鍵尺寸均勻度的控制而言,改變整塊晶圓或基板之中央至邊緣的溫度分布(即徑向溫度分布)多達40℃,同時維持方位角向(即角度或圓周方向)溫度均勻度的能力係必要的。若干電漿蝕刻步驟對於最佳的處理需要徑向溫度分布控制,以補償因其它因素(如蝕刻副產物濃度變化與基板上之徑向位置成函數關係)造成的非均勻性。例如,於薄膜堆疊或多層結構(如闡極氧化物/多晶矽/矽化物/硬遮層/抗反射塗層堆疊)的蝕刻期間,一層的蝕刻可能需要中央區較邊緣區熱,反之另一層的蝕刻可能需要中央區較邊緣區冷。因此,需要基板支座具有實現之方位角向溫度均勻度的能力,及改變整塊晶圓或基板之中央至邊緣的溫度分布多達40℃的能力。方位角向溫度均勻度最好;且更好的是,方位角向溫度均勻度
圖3說明基板支座300之一實施例的橫剖面視圖。基板326提供更有效地控制中央至邊緣溫度分布的能力,其可針對高達40℃的中央至邊緣溫度分布漸進變化,同時維持之方位角向溫度均勻度。基板支座300包括基底構件310、覆蓋基底構件310的熱傳構件320及覆蓋熱傳構件320的靜電夾盤322。靜電夾盤322包括支撐基板326的支撐面324。例如,在共同持有的美國專利第5,838,529號中也描述了如此的靜電夾盤,上述案的內容以引用方式併於本文。
熱傳構件320更分成同心狀多重區域328A-328E。每一區域包含一或多個流動通道330A-330E,液體可經其循環以個別加熱及冷卻熱傳構件320的每一區域328A-328E。藉由使熱液經流動通道330A-330E循環而實現基板支座300的加熱,從而消除熱傳構件320中嵌入加熱元件(電阻性加熱器或加熱帶)的需求。液體可為水(如去離子水)、乙二醇、矽油、水/乙二醇混合物、冷煤(即Minnesota Mining and Manufacturing(3M)Company所售的全氟碳化物冷卻液)、流體(即Solvay Solexis所售的低分子量全氟聚醚熱傳流體)等等。儘管圖3中說明了五個區域,吾人了解到,區域數目可為二或多個,取決於所需的溫控程度。
在圖3的實施例中,熱傳構件320可由熱傳導材料(如鋁或氮化鋁)組成。為了改善徑向熱傳(即個別區域間的熱傳)的控制與實現整塊基板所需的溫度分布,隔熱層332分隔了每一區域328A-328E。隔熱層332可延伸穿過熱傳構件320的整個厚度(如圖3所說明的)或穿過熱傳構件320的部分厚度(如圖4所說明的)。隔熱層332可為未填充(即中空體)或包含填充材料,以實現約0.1W/m-K至約4.0W/m-K的熱導係數。填充材料的例子包括環氧樹脂或矽酮。可使用下述添加劑調整該填充材料的熱導係數:氮化硼、氮化鋁、氧化鋁、氧化矽及矽。
在另一實施例中,如圖5所說明的,藉由絕熱材料組成的熱傳構件320控制徑向熱傳。絕熱材料的例子包括陶瓷(如氧化鋁或氧化釔)或帶有低熱導係數的金屬合金(如不鏽鋼)。
如圖3所說明的,熱傳構件320及基底構件310之間插有結合材料334。結合材料334可由環氧樹脂或矽酮組成,如放大區A所說明的,可以一或多種填充材料334A填充結合材料334。示範性填充材料334A可包括氧化鋁、氮化硼、氧化矽、鋁或矽。在另一實施例中,如放大區B所說明的,結合材料可為金屬硬焊334B。可選擇結合材料334以提供約0.1W/m-K至約4.0W/m-K的熱導係數,及具有約1mil至約200mils的厚度。
圖6說明圓盤狀熱傳構件320的截面平面圖,其取自於圖3的截面線C-C’。從圖6中,區域328A-328E係同心地排列在相對於圓盤中心的不同距離處,而流動通道330A-330E具有螺旋狀圖案。隔熱層332係分隔每一區域的環狀通道。
圖7說明熱傳構件320的部份橫剖面視圖,其包括熱液源336及冷液源338,兩源都與流動通道330A-330E成流體連通。隔熱層332分隔區域328A-328E。閥裝置340係用以藉由調整熱液(來自熱液源336)對冷液(來自冷液源338)的混合比,而控制每一區域328A-328E中個別的溫度。控制器342接收來自每一區域328A-328E之溫度感測器344A-344E的輸入訊號,以獨立地引導閥裝置340調整熱液對冷液的合適混合比。在另一實施例中,每一區328A-328E的溫度感測器可被嵌入靜電夾盤322中。
於電漿處理期間,基板支座300上支撐著基板326,基板326係與區域328A-328E熱接觸。液體流經對應區域328A-328E的流動通道330A-330E。以溫度感測器344A-344E量測每一個別區域328A-328E的溫度,其提供輸入訊號予控制器342。(i)如果區域328A-328E的溫度低於目標溫度,控制器342可藉由增加熱液對冷液的混合比,而提高流經每一個別流動通道330A-330E之液體的溫度;或(ii)如果區域328A-328E的溫度高於目標溫度,控制器342可藉由降低熱液對冷液的混合比,而降低流經每一個別流動通道330A-330E之液體的溫度。於電漿處理期間,帶有熱傳構件320及控制器342的基板支座300在單一晶圓的電漿處理期間,提供獨立地且動態地改變區域328A-328E之溫度的能力。
圖8A說明熱傳構件420之另一實施例的橫剖面視圖。其包括區域428A-428E,每一區域具有各自的流動通道430A-430E及溫度感測器444A-444E。隔熱層432分隔了區域428A-428E。熱液源436及冷液源438經共用管路450A-450E、閥425A-452E’、第一供應管路454及第二供應管路456而與流動通道430A-430E成流體連通。第一至第五閥425A-452E係與共用管路450A-450E及第一供應管路454成流體連通,其供應來自熱液源436的熱液。此外,第六至第十閥452A’-452E’也與共用管路450A-450E及第二供應管路456成流體連通,其供應來自冷液源438的冷液。
控制器442接收來自溫度感測器444A-444E的輸入訊號,以獨立地控制閥425A-452E及452A’-452E’,用以各自在每一流動通道調整來自熱液源436的熱液對來自冷液源438的冷液的混合比。例如,控制器442可控制(i)第一閥452A及第六閥452A’,以調整流經共用管路450A至流動通道430A之熱液對冷液的混合比;(ii)第二閥452B及第七閥452B’,以調整流經共用管路450B至流動通道430B之熱液對冷液的混合比;(iii)第三閥452C及第八閥452C’,以調整流經共用管路450C至流動通道430C之熱液對冷液的混合比;(iv)第四閥452D及第九閥452D’,以調整流經共用管路450D至流動通道430D之熱液對冷液的混合比;及(v)第五閥452E及第十閥452E’,以調整流經共用管路450E至流動通道430E之熱液對冷液的混合比。
圖8A實施例提供於電漿處理期間,藉由控制每一個別區域428A-428E之溫度,而沿著基板426的半徑單調地(即溫度連續 地升高或降低)或非單調地升高或降低溫度的能力。例如,可設定每一個別區域428A-428E中的溫度,俾使徑向溫度分布成拋物線的或反拋物線的(即單調的)。然而,在另一例子中,因為可個別控制每一區域428A-428E中的溫度,故也可設定徑向溫度分布,俾使該徑向溫度分布為正弦的(即非單調的)。
如圖8B所說明的,流動通道430A-430E係與回流管路446成流體連通,其係與熱液源436及/或冷液源438成流體連通。可藉使流出流動通道430A-430E的液體回至熱液源436及/或冷液源438而回收該液體。
熱液源436使該熱液維持在約40℃至約150℃的溫度;冷液源438使該冷液維持在約-10℃至約70℃的溫度。因此,取決於電漿處理期間所需之中央至邊緣的溫度分布,圖8A與8B的實施例具有在每一區域428A-428E中實現五種不同溫度的能力。儘管圖8A與8B說明了五個區域,吾人了解到,區域數目可為二或多個,取決於所需之徑向溫度分布控制的程度。在一例子中,冷液源使該冷液維持在-10℃的溫度;且熱液源使該熱液維持在150℃的溫度,其中該熱液溫度高於該冷液溫度。
圖9說明熱傳構件520之另一實施例的部份橫剖面視圖,其包括區域528A-528E,每一區域具有各自的流動通道530A-530E及溫度感測器544A-544E。隔熱層532分隔了區域528A-528E。液源536係與供應管路550、第一至第四傳輸管路552A-552D及回流管路554成流體連通。沿著供應管路550設置第一加熱元件538A;且沿著第一至第四傳輸管路552A-552D設置第二至第五加熱元件538B-538E。第一至第五加熱元件538A-538E控制流經供應管路550及第一至第四傳輸管路552A-552D之液體的溫度。
控制器542接收來自溫度感測器544A-544E的輸入訊號,以獨立地控制加熱元件538A-538E。如果溫度感測器544A-544E所測得的溫度低於目標溫度,控制器542則啟動一或多個合適的加熱元件538A-538E。在來自液源536的液體於第一流動通道530A循環之前,第一加熱元件538A使該液體加熱至第一溫度。第一傳輸管路552A使液體自第一流動通道530A流至第二流動通道530B;且在沿著第一傳輸管路552A流動的液體於第二流動通道530B循環之前,第二加熱元件538A使該液體加熱至第二溫度。第二傳輸管路552B使液體自第二流動通道530B流至第三流動通道530C;且在沿著第二傳輸管路552B流動的液體於第三流動通道530C循環之前,第三加熱元件538A使該液體加熱至第三溫度。第三傳輸管路552C使液體自第三流動通道530C流至第四流動通道530D;且在沿著第三傳輸管路552C流動的液體於第四流動通道530D循環之前,第四加熱元件538D使該液體加熱至第四溫度。第四傳輸管路552D使液體自第四流動通道530D流至第五流動通道530E;且在沿著第四傳輸管路552D流動的液體於第五流動通道530E循環之前,第五加熱元件538E使該液體加熱至第五溫度。流出第五流動通道的液體沿著回流管路554回至液源536。
流經第一至第四傳輸管路552A-552D的液體可依順向(如圖9之箭頭所示)流動或依逆向(圖9未顯示)流動。於流體依順向流動期間,第一溫度係低於第二溫度,第二溫度低於第三溫度,第三溫度低於第四溫度,導致區域528E(即中央區)的溫度最高。同樣地,於流體依逆向流動期間,第一溫度係高於第二溫度,第二溫度高於第三溫度,第三溫度高於第四溫度,導致區域528A(即邊緣區)的溫最高。
圖9的實施例提供於電漿處理期間沿著基板326之徑向單調地升高或降低溫度的能力。例如,可設定每一個別區域528A-528E的溫度,俾使徑向溫度分布成拋物線的或反拋物線的(即單調的)。
於電漿處理(如半導體、金屬或介電質的電漿蝕刻;或導電或介電材料的沈積)期間,帶有熱傳構件320/420/520的基板支座300具有改變中央至邊緣的徑向溫度分布高達40℃,同時維持1℃(更好的是)之方位角向溫度均勻度的能力。此外,如此的熱傳構件320/420/520提供以下能力:(1)均勻的溫度分布;或(2)徑向變化的溫度分布(如熱的邊緣或熱的中央),兩者有助於電漿處理期間之漸進變化的溫度控制,以優化多層別的處理。圖10針對電漿處理期間利用熱傳構件320/420/520的三種示範性中央至邊緣溫度分布,說明徑向溫度與晶圓(半徑R)上之徑向位置的函數關係:(A)中央區較邊緣區熱;(B)中央區較邊緣區冷;及(C)整個晶圓上均勻的溫度分布。
儘管已參照本文特定實施例詳細地描述本發明,對於熟悉本技藝者,明顯的是,在不脫離附加之請求項的範疇下當可做各種變化及修正與等價動作。
100...電漿處理設備
102...反應室
104...基板支座
106...基板
108...頂板
110...噴淋頭電極
112...氣體供應器
114...氣體通道
116A...電源
116B...電源
200...感應偶合電漿(ICP)處理設備
202...反應室
204...基板支座
206...基板
208...介電質窗
210...氣體分佈構件
212...氣體供應器
216...能量源
218A...RF源
218B...RF阻抗匹配電路
300...基板支座
310...基底構件
320...熱傳構件
322...靜電夾盤
324...支撐面
326...基板
328A-328E...區域
330A-330E...流動通道
332...隔熱層
334...結合材料
334A...填充材料
334B...金屬硬焊
336...熱液源
338...冷液源
340...閥裝置
342...控制器
344A-344E...溫度感測器
420...熱傳構件
426...基板
428A-428E...區域
430A-430E...流動通道
432...隔熱層
436...熱液源
438...冷液源
442...控制器
444A-444E...溫度感測器
446...回流管路
450A-450E...共用管路
452A-452E...閥
452A’-452E’...閥
454...第一供應管路
456...第二供應管路
520...熱傳構件
528A-528E...區域
530A-530E...流動通道
532...隔熱層
536...液源
538A-538E...加熱元件
542...控制器
544A-544E...溫度感測器
550...供應管路
552A-552D‧‧‧傳輸管路
554‧‧‧回流管路
A‧‧‧放大區
B‧‧‧放大區
C-C’‧‧‧截面線
P‧‧‧電漿
圖1係電漿處理設備之示範實施例的橫剖面視圖。
圖2係感應偶合電漿設備的橫剖面視圖。
圖3係基板支座之一實施例的橫剖面視圖。
圖4係係基板支座之額外實施例的橫剖面視圖,其包括延伸穿過熱傳構件之部分厚度的隔熱層。
圖5係基板支座無隔熱層之額外實施例的橫剖面視圖。
圖6係圖3之支座取自於截面線C-C’的截面平面圖。
圖7係熱傳構件之一實施例的部份橫剖面視圖,其包括冷液源、熱液源、閥裝置及控制器。
圖8A係熱傳構件之另一實施例的部份橫剖面視圖,其包括冷液源、熱液源、閥裝置及控制器。
圖8B係圖8A之熱傳構件實施例的部份橫剖面視圖,其包括冷液源及/或熱液源的回流管路。
圖9係熱傳構件之另一實施例的部份橫剖面視圖,其包括液源、加熱元件及傳輸管路。
圖10說明電漿處理期間半導體基板的三種示範性中央至邊緣溫度分布。
320...熱傳構件
330A-330E...流動通道
332...隔熱層

Claims (12)

  1. 一種用於一電漿處理設備之一反應室中的基板支座,該基板支座包括:一基底構件;一熱傳構件,覆蓋著該基底構件,該熱傳構件具有多重區域,該多重區域至少包括帶有第一流動通道的第一區及帶有第二流動通道的第二區,一液體可經該第一流動通道及該第二流動通道而循環,以個別加熱及冷卻該熱傳構件的該第一區及該第二區;一靜電夾盤,覆蓋著該熱傳構件,該靜電夾盤具有一支撐面,用以在該電漿處理設備之反應室中支撐一基板;一冷液源及一熱液源,經由第一共用管路而與該第一流動通道成流體連通、以及經由第二共用管路而與該第二流動通道成流體連通;一閥裝置,用以藉由調整在該第一及第二共用管路中之熱液對冷液的一混合比例,而獨立地控制該第一及第二區中之液體的溫度;及一控制器,控制著該閥裝置,以藉由調整在該第一及第二共用管路中之該熱液對該冷液的該混合比例,而獨立地控制該第一及第二區中的溫度。
  2. 如申請專利範圍第1項之用於一電漿處理設備之一反應室中的基板支座,更包括:第一溫度感測器,位在該第一區中,與第二溫度感測器,位在該第二區中,該等溫度感測器適合於量測該第一及第二區中的溫度並提供輸入訊號予該控制器;一隔熱層,分隔該第一及第二區;及一結合材料,位於該熱傳構件及該基底構件之間,該結合材料具有約0.1W/m-K至約4.0W/m-K的熱導係數,及約1mil至約200mils的厚度。
  3. 如申請專利範圍第1項之用於一電漿處理設備之一反應室中的基板支座,其中該冷液源使該冷液維持在-10℃的溫度;且該熱液源使該熱液維持在150℃的溫度,其中該熱液溫度高於該冷液溫度。
  4. 如申請專利範圍第2項之用於一電漿處理設備之一反應室中的基板支座,其中該熱傳構件係一圓盤;每一區域係同心地排列在相對於該圓盤之中心的不同徑向距離處;且該隔熱層係一環狀通道。
  5. 如申請專利範圍第4項之用於一電漿處理設備之一反應室中的基板支座,其中該環狀通道係中空的;或該環狀通道填滿帶有約0.1W/m-K至約4.0W/m-K之熱導係數的環氧樹脂或矽酮或其它材料。
  6. 如申請專利範圍第4項之用於一電漿處理設備之一反應室中的基板支座,其中該環狀通道延伸穿過該熱傳構件的整個厚度;或該環狀通道延伸穿過該熱傳構件的部分厚度。
  7. 如申請專利範圍第2項之用於一電漿處理設備之一反應室中的基板支座,其中該結合材料係由矽酮或環氧樹脂組成,且包含一或多樣填充材料,該填充材料包括氧化鋁、氮化硼、氧化矽、鋁或矽;或該結合材料係由金屬硬焊接合組成。
  8. 如申請專利範圍第1項之用於一電漿處理設備之一反應室中的基板支座,其中該熱傳構件係由鋁或鋁合金組成;或該熱傳構件係由不鏽鋼、氧化鋁或氧化釔組成。
  9. 如申請專利範圍第1項之用於一電漿處理設備之一反應室中的基板支座,其中該閥裝置包括: 第一閥,與該第一共用管路及來自該熱液源的第一供應管路成流體連通,該第一閥用以控制自該熱液源流經該第一共用管路之該熱液的流量;第二閥,與該第一共用管路及來自該冷液源的第二供應管路成流體連通,該第二閥用以控制自該冷液源流經該第一共用管路之該冷液的流量;第三閥,與該第二共用管路及來自該熱液源的該第一供應管路成流體連通,該第三閥用以控制流經該第二共用管路之該熱液的流量;第四閥,與該第二共用管路及來自該冷液源的該第二供應管路成流體連通,該第四閥用以控制流經該第二共用管路之該冷液的流量;其中該控制器係用以獨立控制:(a)該第一閥及該第二閥,以調整流至該第一流動通道之該熱液對該冷液的第一混合比例;及(b)該第三閥及該第四閥,以調整流至該第二流動通道之該熱液對該冷液的第二混合比例。
  10. 如申請專利範圍第9項之用於一電漿處理設備之一反應室中的基板支座,更包括:該熱傳構件具有帶有第三流動通道的第三區、帶有第四流動通道的第四區、以及帶有第五流動通道的第五區;第三共用管路,與該第三流動通道成流體連通;第四共用管路,與該第四流動通道成流體連通;第五共用管路,與該第五流動通道成流體連通;第五閥,與該第三共用管路及來自該熱液源的該第一供應管路成流體連通,該第五閥用以控制流經該第三共用管路之該熱液的流量;第六閥,與該第三共用管路及來自該冷液源的該第二供應管路成流體連通,該第六閥用以控制流經該第三共用管路之該冷液 的流量;第七閥,與該第四共用管路及來自該熱液源的該第一供應管路成流體連通,該第七閥用以控制流經該第四共用管路之該熱液的流量;第八閥,與該第四共用管路及來自該冷液源的該第二供應管路成流體連通,該第八閥用以控制流經該第四共用管路之該冷液的流量;第九閥,與該第五共用管路及來自該熱液源的該第一供應管路成流體連通,該第九閥用以控制流經該第五共用管路之該熱液的流量;第十閥,與該第五共用管路及來自該冷液源的該第二供應管路成流體連通,該第十閥用以控制流經該第五共用管路之該冷液的流量;及該控制器更用以獨立控制:(c)該第五閥及該第六閥,以調整流至該第三流動通道之該熱液對該冷液的第三混合比例;(d)該第七閥及該第八閥,以調整流至該第四流動通道之該熱液對該冷液的第四混合比例;及(e)該第九閥及該第十閥,以調整流至該第五流動通道之該熱液對該冷液的第五混合比例。
  11. 一種用於一電漿處理設備之一反應室中的基板支座,該基板支座包括:一基底構件;一熱傳構件,覆蓋著該基底構件,該熱傳構件具有多重區域,該多重區域至少包括帶有至少二同心第一流動通道的第一區及帶有至少二同心第二流動通道的第二區,一液體可經由該至少二同心第一流動通道及該至少二同心第二流動通道而循環,以個別加熱及冷卻該熱傳構件的該第一區及該第二區;一靜電夾盤,覆蓋著該熱傳構件,該靜電夾盤具有一支撐面, 用以在該電漿處理設備之反應室中支撐一基板;一冷液源及一熱液源,經由第一共用管路而與該至少二同心第一流動通道成流體連通、以及經由第二共用管路而與該至少二同心第二流動通道成流體連通;一閥裝置,用以藉由調整在該第一及第二共用管路中之熱液對冷液的一混合比例,而獨立地控制該第一及第二區中之液體的溫度;及一控制器,控制著該閥裝置,以藉由調整在該第一及第二共用管路中之該熱液對該冷液的該混合比例,而獨立地控制該第一及第二區中的溫度。
  12. 一種用於一電漿處理設備之一反應室中的基板支座,該基板支座包括:一基底構件;一熱傳構件,覆蓋著該基底構件,該熱傳構件具有多重區域,該多重區域至少包括帶有第一流動通道的第一區及帶有第二流動通道的第二區,一液體可經由該第一流動通道及該第二流動通道而循環,以個別加熱及冷卻該熱傳構件的該第一區及該第二區,並且一隔熱層將該第一區及該第二區分隔,其中該隔熱層延伸穿過該熱傳構件的整個厚度;一靜電夾盤,覆蓋著該熱傳構件,該靜電夾盤具有一支撐面,用以在該電漿處理設備之反應室中支撐一基板;一冷液源及一熱液源,經由第一共用管路而與該第一流動通道成流體連通、以及經由第二共用管路而與該第二流動通道成流體連通;一閥裝置,用以藉由調整在該第一及第二共用管路中之熱液對冷液的一混合比例,而獨立地控制該第一及第二區中之液體的溫度;及一控制器,控制著該閥裝置,以藉由調整在該第一及第二共用管路中之該熱液對該冷液的該混合比例,而獨立地控制該第一 及第二區中的溫度。
TW098138394A 2008-11-12 2009-11-12 藉由液體控制之多區基板支座而改善基板溫度控制 TWI546408B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/292,081 US20100116788A1 (en) 2008-11-12 2008-11-12 Substrate temperature control by using liquid controlled multizone substrate support

Publications (2)

Publication Number Publication Date
TW201033398A TW201033398A (en) 2010-09-16
TWI546408B true TWI546408B (zh) 2016-08-21

Family

ID=42164256

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105114077A TWI589719B (zh) 2008-11-12 2009-11-12 藉由液體控制之多區基板支座而改善基板溫度控制
TW098138394A TWI546408B (zh) 2008-11-12 2009-11-12 藉由液體控制之多區基板支座而改善基板溫度控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105114077A TWI589719B (zh) 2008-11-12 2009-11-12 藉由液體控制之多區基板支座而改善基板溫度控制

Country Status (7)

Country Link
US (1) US20100116788A1 (zh)
EP (1) EP2366039A4 (zh)
JP (1) JP5546552B2 (zh)
KR (2) KR20110083666A (zh)
CN (2) CN102197156A (zh)
TW (2) TWI589719B (zh)
WO (1) WO2010055441A2 (zh)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8021521B2 (en) * 2005-10-20 2011-09-20 Applied Materials, Inc. Method for agile workpiece temperature control in a plasma reactor using a thermal model
CN101921987A (zh) * 2009-06-10 2010-12-22 鸿富锦精密工业(深圳)有限公司 溅镀镀膜装置
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP6066728B2 (ja) * 2009-12-15 2017-01-25 ラム リサーチ コーポレーションLam Research Corporation Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
TWI495757B (zh) * 2011-09-16 2015-08-11 Kern Energy Entpr Co Ltd 薄膜製程設備及其製作流程
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US10256123B2 (en) * 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
KR102031393B1 (ko) * 2011-11-23 2019-10-11 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
CN103205717B (zh) * 2012-01-13 2016-12-21 鸿富锦精密工业(深圳)有限公司 盖体
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR20190124348A (ko) * 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) * 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
CN103792974B (zh) * 2014-01-22 2015-12-02 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
CN103757608B (zh) * 2014-01-22 2016-05-11 清华大学 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103792842B (zh) * 2014-01-22 2016-08-17 清华大学 一种可用于功率场空间分布精细控制的基台及控制方法
CN103726034B (zh) * 2014-01-22 2017-01-25 清华大学 用于工艺腔室的基台及其控制方法、托盘及其设计方法
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
KR102411194B1 (ko) 2014-09-04 2022-06-20 삼성전자주식회사 냉매의 양방향 흐름이 가능한 정전척 어셈블리 및 이를 구비한 반도체 제조장치
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102307839B1 (ko) * 2014-10-24 2021-10-05 세메스 주식회사 웨이퍼 지지용 척 구조물
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) * 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104947088B (zh) * 2015-06-16 2017-11-14 清华大学 调节温度场和/或等离子场的阻抗可控模块
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6584286B2 (ja) * 2015-10-26 2019-10-02 日本発條株式会社 ヒータユニット
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US10764966B2 (en) 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR101910347B1 (ko) * 2016-12-05 2018-10-23 주식회사 글로벌스탠다드테크놀로지 반도체 제조설비의 고도화 온도제어장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180233321A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Ion directionality esc
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN106893982A (zh) * 2017-03-30 2017-06-27 京东方科技集团股份有限公司 一种冷却板和蒸镀装置
WO2018183557A1 (en) * 2017-03-31 2018-10-04 Lam Research Corporation Electrostatic chuck with flexible wafer temperature control
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11107708B2 (en) * 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510564B2 (en) * 2018-01-10 2019-12-17 Lam Research Corporation Dynamic coolant mixing manifold
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
CN111441038B (zh) * 2020-03-20 2023-08-22 华南理工大学 一种基于化学气相沉积法的纳米材料打印机
CN111415887A (zh) * 2020-03-27 2020-07-14 宁波润华全芯微电子设备有限公司 一种晶圆加热装置
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
CN113512665B (zh) * 2021-07-14 2021-12-21 上海铂世光半导体科技有限公司 一种合金材料的特殊水道设计的散热台
WO2023076321A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Modulating thermal conductivity to control cooling of showerhead
WO2023239585A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Substrate temperature control with integrated thermoelectric cooling system
CN116313946B (zh) * 2023-05-24 2023-10-17 长鑫存储技术有限公司 温度调节系统及调节方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5538529A (en) * 1993-09-10 1996-07-23 Bion Technologies, Inc. Bioconverted nutrient rich humus
JPH0863236A (ja) * 1994-08-22 1996-03-08 Komatsu Electron Kk 温度制御装置
US5938943A (en) * 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US6415858B1 (en) * 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6866094B2 (en) * 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
JPH11329926A (ja) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd 基板冷却装置および基板冷却方法
US5996353A (en) * 1998-05-21 1999-12-07 Applied Materials, Inc. Semiconductor processing system with a thermoelectric cooling/heating device
JP2000031253A (ja) * 1998-07-10 2000-01-28 Komatsu Ltd 基板処理装置及び方法
AU5448200A (en) * 1999-05-27 2000-12-18 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
AU2002224581A1 (en) * 2000-07-21 2002-02-05 Temptronic Corporation Temperature-controlled thermal platform for automated testing
JP3817414B2 (ja) * 2000-08-23 2006-09-06 株式会社日立製作所 試料台ユニットおよびプラズマ処理装置
JP5000842B2 (ja) * 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US7160105B2 (en) * 2001-06-01 2007-01-09 Litrex Corporation Temperature controlled vacuum chuck
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6771086B2 (en) * 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
AU2003248918A1 (en) * 2002-07-11 2004-02-02 Temptronic Corporation Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
KR101118863B1 (ko) * 2004-01-30 2012-03-19 도쿄엘렉트론가부시키가이샤 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置

Also Published As

Publication number Publication date
US20100116788A1 (en) 2010-05-13
EP2366039A4 (en) 2013-09-18
TW201631190A (zh) 2016-09-01
TWI589719B (zh) 2017-07-01
JP5546552B2 (ja) 2014-07-09
WO2010055441A2 (en) 2010-05-20
TW201033398A (en) 2010-09-16
CN102197156A (zh) 2011-09-21
KR20110083666A (ko) 2011-07-20
CN105603376A (zh) 2016-05-25
EP2366039A2 (en) 2011-09-21
WO2010055441A3 (en) 2010-07-08
KR20160141873A (ko) 2016-12-09
JP2012508991A (ja) 2012-04-12

Similar Documents

Publication Publication Date Title
TWI546408B (zh) 藉由液體控制之多區基板支座而改善基板溫度控制
US20210087680A1 (en) Susceptor having cooling device
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US10332772B2 (en) Multi-zone heated ESC with independent edge zones
JP6076246B2 (ja) ゾーン依存熱効率性を備えた温度制御されたプラズマ処理チャンバ部品
JP4969259B2 (ja) プラズマ処理装置
US9248509B2 (en) Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
JP2005528790A (ja) プラズマエッチングリアクタ用のカソードペデスタル
JP2006140455A (ja) 基板の温度を制御する方法及び装置
US20140209242A1 (en) Substrate processing chamber components incorporating anisotropic materials
CN116057684A (zh) 使用多个加热区和热孔隙的基座热分布调节