JP5546552B2 - 液体を制御された複数領域基板支持体による改良基板温度制御 - Google Patents

液体を制御された複数領域基板支持体による改良基板温度制御 Download PDF

Info

Publication number
JP5546552B2
JP5546552B2 JP2011543856A JP2011543856A JP5546552B2 JP 5546552 B2 JP5546552 B2 JP 5546552B2 JP 2011543856 A JP2011543856 A JP 2011543856A JP 2011543856 A JP2011543856 A JP 2011543856A JP 5546552 B2 JP5546552 B2 JP 5546552B2
Authority
JP
Japan
Prior art keywords
flow path
temperature
liquid
region
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011543856A
Other languages
English (en)
Other versions
JP2012508991A (ja
Inventor
シン・ハーミート
コメンダント・キース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012508991A publication Critical patent/JP2012508991A/ja
Application granted granted Critical
Publication of JP5546552B2 publication Critical patent/JP5546552B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3471Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

エッチング、物理蒸着(PVD)、化学蒸着(CVD)、イオン注入、レジスト除去などの技術によって基板を処理するために、プラズマ処理装置が用いられる。プラズマ処理に用いられるプラズマ処理装置の1つのタイプは、上側および下側電極を収容する反応チャンバを備える。処理ガスをプラズマ状態に励起して、反応チャンバ内で基板を処理するために、それらの電極の間に電場が確立される。フィーチャサイズの縮小および新しい材料の導入により、プラズマ処理装置においてプラズマ処理の条件の制御を改善することが必要になっている。
一実施形態では、プラズマ処理装置の反応チャンバ内で用いる基板支持体が提供されている。基板支持体は、ベース部材と、ベース部材の上に位置する熱伝導部材とを備える。熱伝導部材は、第1の流路を内部に備えた第1の領域と、第2の流路を内部に備えた第2の領域とを少なくとも含む複数の領域を有しており、熱伝導部材の第1および第2の領域を個別に加熱および冷却するために、流路を通して液体を循環させることが可能である。熱伝導部材の上には、静電チャックが配置される。静電チャックは、プラズマ処理装置の反応チャンバ内で基板を支持するための支持面を有する。冷液源および温液源が、第1および第2の流路と流体連通している。バルブ構成は、第1および第2の流路内を循環する温液および冷液の混合比を調節することにより、第1および第2の領域内での液体の温度を独立的に制御するよう動作可能である。制御部は、第1および第2の流路内の温液および冷液の混合比を調節することにより、第1および第2の領域内の温度を独立的に制御するように、バルブ構成を制御する。
別の実施形態では、プラズマ処理中に半導体基板の温度を制御する方法が提供されている。基板は、上述の基板支持体上に支持され、複数の領域と熱的に接触する。この方法では、液体が第1および第2の流路を流れ、第1の領域の温度が測定され、第1の流路を流れる液体の温度は、(a)第1の領域の温度が目標温度よりも低い場合に、冷液に対する温液の混合比を高くすることによって上げられる、または、(b)第1の領域の温度が目標温度よりも高い場合に、冷液に対する温液の混合比を低くすることによって下げられる。同様に、第2の領域の温度が測定され、第2の流路を流れる液体の温度は、(a)第2の領域の温度が目標温度よりも低い場合に、冷液に対する温液の混合比を高くすることによって上げられる、または、(b)第2の領域の温度が目標温度よりも高い場合に、冷液に対する温液の混合比を低くすることによって下げられる。各領域内の方位角方向の温度差は、5℃未満であることが好ましい。
別の実施形態では、プラズマ処理装置の反応チャンバ内で用いる基板支持体が提供されている。基板支持体は、ベース部材と、ベース部材の上に位置する熱伝導部材とを備える。熱伝導部材は、第1の流路を備えた第1の領域と、第2の流路を備えた第2の領域とを有する。流路は、液体を循環させて、熱伝導部材の各領域を個別に加熱および冷却するよう適合される。第1の共通ラインが第1の流路と流体連通しており、第2の共通ラインが第2の流路と流体連通している。第1のバルブが、第1の共通ラインと温液源からの第1の供給ラインとに流体連通している。第1のバルブは、温液源から第1の共通ラインに流れる温液の流量を制御するよう動作可能である。第2のバルブが、第1の共通ラインと冷液源からの第2の供給ラインとに流体連通している。第2のバルブは、冷液源から第1の共通ラインに流れる冷液の流量を制御するよう動作可能である。第3のバルブが、第2の共通ラインと温液源からの第1の供給ラインとに流体連通している。第3のバルブは、第2の共通ラインに流れる温液の流量を制御するよう動作可能である。第4のバルブが、第2の共通ラインと冷液源からの第2の供給ラインとに流体連通している。第4のバルブは、第2の共通ラインに流れる冷液の流量を制御するよう動作可能である。制御部は、第1の流路に対する温液および冷液の第1の混合比を調節するために第1のバルブおよび第2のバルブを、そして、第2の流路に対する温液および冷液の第2の混合比を調節するために第3のバルブおよび第4のバルブを、独立的に制御するよう動作可能である。熱伝導部材の上には、静電チャックが配置される。静電チャックは、プラズマ処理装置の反応チャンバ内で基板を支持するための支持面を有する。
別の実施形態では、プラズマ処理装置の反応チャンバ内で用いる基板支持体が提供されている。基板支持体は、ベース部材と、ベース部材の上に位置する熱伝導部材とを備える。熱伝導部材は、第1の流路を内部に備えた第1の領域と、第2の流路を内部に備えた第2の領域とを有する。流路は、液体を循環させて、熱伝導部材の各領域を個別に加熱および冷却するよう適合される。供給ラインが、第1の流路および液体源と流体連通している。第1の加熱素子が、供給ラインに沿って配置されている。第1の加熱素子は、液体が第1の流路に循環される前に、液体源から流れる液体を第1の温度に加熱するよう適合されている。第1の移送ラインが、第1の流路および第2の流路と流体連通している。第1の移送ラインは、第1の流路から第2の流路に液体を流すよう適合される。第2の加熱素子が、第1の移送ラインに沿って配置されている。第2の加熱素子は、第2の流路に循環する前に、液体を第2の温度に加熱するよう適合されている。制御部は、各加熱素子への電力を調節することによって各領域の温度を独立的に制御するように各加熱素子を制御する。熱伝導部材の上には、静電チャックが配置される。静電チャックは、プラズマ処理装置の反応チャンバ内で基板を支持するための支持面を有する。
プラズマ処理装置の代表的な実施形態を示す断面図。
誘導結合プラズマ処理装置を示す断面図。
基板支持体の一実施形態を示す断面図。
熱伝導部材の厚さの一部を通して伸びる熱バリアを備えた別の実施形態の基板支持体を示す断面図。
熱バリアを備えない別の実施形態の基板支持体を示す断面図。
線C−C’に沿って切り取った図3の支持体を示す断平面図。
冷液源と、温液源と、バルブ構成と、制御部とを備える熱伝導部材の一実施形態を示す部分断面図。
冷液源と、温液源と、バルブ構成と、制御部とを備える熱伝導部材の別の実施形態を示す部分断面図。
冷液源および/または温液源への戻りラインを備える図8Aの熱伝導部材の実施形態を示す部分断面図。
液体源と、加熱素子と、移送ラインとを備える熱伝導部材の別の実施形態を示す部分断面図。
プラズマ処理中の半導体基板の中央部から縁部までの温度プロファイルについて3つの例を示す図。
プラズマ処理装置における基板のプラズマ処理の均一性を改善するには、材料の蒸着および/またはエッチングが起きる基板の露出面における温度分布を制御することが望ましい。プラズマエッチング処理では、基板の露出面における基板温度および/または化学反応速度の変動が、基板のエッチング速度、エッチング選択比および異方性の望ましくない変動を引き起こしうる。材料の蒸着処理(CVD処理など)では、蒸着率、ならびに、基板上に蒸着される材料の組成および特性が、蒸着中の基板の温度によって大きく影響されうる。
図1は、エッチングのための代表的な半導体材料プラズマ処理装置100を示す。プラズマ処理装置100は、プラズマ処理中に基板106を支持する基板支持体104を含む反応チャンバ102を備える。反応チャンバ102の内部で基板106を支持するための基板支持体104は、処理中に基板支持体104上に基板106を固定するよう動作可能なクランプ装置(好ましくは、静電チャック)を備えてよい。
図1に示したプラズマ処理チャンバの一例は、反応チャンバ102の壁を形成するトッププレート108と、トッププレート108に取り付けられたシャワーヘッド電極110とを有するシャワーヘッド電極アセンブリを備える。ガス供給源112は、シャワーヘッド電極110を通して反応チャンバ102の内部に処理ガスを供給する。シャワーヘッド電極110は、シャワーヘッド電極110および基板支持体104の間に位置するプラズマ反応チャンバ102内の空間に処理ガスを注入するためにシャワーヘッド電極110の厚さを通して伸びる複数のガス流路114を備える。ガス供給源112は、2領域ガス供給構成のシャワーヘッド電極110の中央領域および外側領域に供給する内側および外側供給ラインを備えうる。
処理ガスは、シャワーヘッド電極110を通して、反応チャンバ102の内部に流入する。次に、処理ガスは、電源116A(シャワーヘッド電極110を駆動するRF源など)、および/または、約0.3から約600MHzまでの1または複数の周波数(例えば、2MHz、13.56MHz、60MHzなど)で基板支持体104内の電極を駆動する約0.3から約600MHzまでの1または複数の周波数(例えば、2MHz、13.56MHz、60MHzなど)の電源116Bによって、プラズマ処理装置100内でプラズマ状態へ励起される。シャワーヘッド電極110に印加されるRF電力は、異なるガス組成がプラズマ処理装置100に供給される場合など、異なる処理工程を実行するために変更されてよい。別の実施形態において、シャワーヘッド電極110は、接地されてもよい。
一実施形態において、2つのRF源からシャワーヘッド電極110および/または基板支持体104にRFエネルギを供給することによって、プラズマ処理装置100の内部でプラズマを生成してもよいし、シャワーヘッド電極110を電気的に接地して、単一の周波数または複数の周波数のRFエネルギを基板支持体104に供給してもよい。
別の実施形態では、図2に示すように、誘導結合プラズマ(ICP)処理装置200を用いて、低圧(すなわち、100mTorr未満)で真空チャンバ内に処理ガスを供給すると共に、高周波(RF)エネルギをガスに印加することにより、蒸着(例えば、プラズマ化学蒸着すなわちPECVD)、および、基板上の材料のプラズマエッチングを実行することができる。図2は、ICPプラズマ処理装置200の一実施形態を示す断面図である。ICPプラズマ処理チャンバの一例は、カリフォルニア州フレモント、ラムリサーチ社によって製造されたTCP(登録商標)エッチング/蒸着システムである。ICPプラズマ処理装置は、例えば、本願の権利者が所有する米国特許第4,948,458号にも記載されており、これは、参照によって全体が本明細書に組み込まれる。反応チャンバ202は、反応チャンバ202の内部で基板206を支持する基板支持体204を備える。誘電体窓208は、反応チャンバ202の上壁を形成する。処理ガスは、ガス分配部材210を通して反応チャンバ202の内部に注入される。ガス分配部材210の例としては、シャワーヘッド、ガスインジェクタ、または、その他の適切な構成が挙げられる。ガス供給源212は、ガス分配部材210を通して反応チャンバ202の内部に処理ガスを供給する。
処理ガスは、反応チャンバ202の内部に導入されると、エネルギ源216が反応チャンバ202の内部にエネルギを供給することによって、プラズマ状態に励起される。エネルギ源216は、RFエネルギを反応チャンバ202に誘導結合するために、RF源218AおよびRFインピーダンス整合回路218Bによって電力供給された外部平面アンテナであることが好ましい。平面アンテナにRF電力を印加することによって生成された電磁場は、処理ガスを励起して、基板206の上方に高密度プラズマP(例えば、1010〜1012イオン/cm3)を形成する。
誘電体窓208は、平面アンテナの下に位置し、ガス分配部材210は、誘電体窓208の下方に配置される。プラズマPは、基板206の蒸着またはエッチングのために、ガス分配部材210および基板206の間の領域内で生成される。
基板のプラズマ処理中に、プラズマガスの反応性イオンは、半導体基板(例えば、シリコン、ガリウムヒ素、または、リン化インジウムのウエハ)の表面上の材料の一部と化学的に反応し、基板の中央部および縁部の間で最大50℃の温度差を引き起こす。基板上の各点における局所的な基板温度および化学反応速度は相互に関連し、その結果、表面にわたる基板の温度差が大きすぎる場合に、基板の表面上で、材料の非均一なエッチングまたは蒸着が生じうる。この状況を軽減するために、基板支持体と、基板支持体上に支持された基板との間の熱伝導を提供するべく、基板支持体において背面ガス冷却システムが利用されてきた。
基板支持体は、処理中に基板支持体から熱を除去するための冷却剤流路を備えている。かかる冷却システムでは、制御された温度と、設定された体積流量とを有する冷却剤が、冷却剤流路に導入される。基板支持体は、冷却システム内に1つの供給ラインおよび1つの戻りラインを備えている。しかしながら、基板支持体から熱が除去される際に、流路の長さに沿って流入口から流出口まで、かなりの温度勾配が生じうることがわかっていた。結果として、熱伝導ガスおよび基板と接触する基板支持体の表面における温度の均一性が制御されない。基板ホルダも、基板の背面におけるヒートシンクを提供する。結果として生じる基板から基板ホルダへの熱伝導は、周知のプラズマ処理装置において基板にわたる温度の非均一性を引き起こしていた。
ウエハまたは基板における中央部から縁部までの温度プロファイル(すなわち、半径方向の温度プロファイル)を最大40℃変化させると共に、方位角方向(すなわち、角度または円周方向)の温度均一性を≦5℃に維持できることが、クリティカルディメンションの均一性の制御に重要である。一部のプラズマ処理工程は、他の要因(基板上の半径方向位置の関数としてのエッチング副生成物の濃度変動など)による非均一性を補償するために、最適な処理のための半径方向温度プロファイルの制御を必要とする。例えば、薄膜の積層体または多層構造(例えば、酸化物/ポリシリコン/ケイ化物/ハードマスク/反射防止膜の積層体)のエッチングの際に、ある層のエッチングが、縁部領域よりも中央部領域の温度が高いことを必要とし、別の層のエッチングが、縁部領域よりも中央領域の温度が低いことを必要とする場合がある。したがって、≦5℃の方位角方向の温度均一性を実現する能力、ウエハまたは基板における中央部から縁部までの温度プロファイルを最大40℃変化させる能力を有する基板支持体への需要がある。方位角方向の温度均一性は、≦1℃であることが好ましく、≦0.5℃であることがより好ましい。
図3は、基板支持体300の一実施形態を示す断面図である。基板326は、中央部から縁部までの温度プロファイルをより効果的に制御する能力を提供し、温度プロファイルは、≦1℃の方位角方向の温度均一性を維持しつつ、最大40℃までの中央部−縁部の温度プロファイルに対して段階的に変更可能である。基板支持体300は、ベース部材310と、ベース部材310の上に位置する熱伝導部材320と、熱伝導部材320の上に位置する静電チャック322とを備える。静電チャック322は、基板326を支持するための支持面324を備える。かかる静電チャックは、例えば、本願の権利者が所有する米国特許第5,838,529号にも記載されており、これは、参照によって全体が本明細書に組み込まれる。
熱伝導部材320は、さらに、同心の複数領域328A〜328Eに分割されている。各領域は、1または複数の流路330A〜330Eを含んでおり、熱伝導部材320の各領域328A〜328Eを個別に加熱および冷却するために、流路を通して液体を循環させることができる。基板支持体300の加熱は、流路330A〜330Eを通して液体を循環させることによって達成されるため、加熱素子(例えば、抵抗ヒータまたは加熱テープ)を熱伝導部材320に埋め込む必要がない。液体は、水(例えば、脱イオン水)、エチレングリコール、シリコン油、水/エチレングリコール混合物、FLUOROINERT(登録商標)冷却剤(すなわち、Minnesota Mining and Manufacturing(3M)社から入手可能なペルフルオロカーボン冷却流体)、GALDEN(登録商標)流体(すなわち、Solvay Solexis社から入手可能な低分子量ペルフルオロポリエーテル熱伝導流体)などであってよい。図3には、5つの領域を示しているが、領域の数は、所望の温度制御の程度に応じた2以上の数であってよいことを理解されたい。
図3の実施形態では、熱伝導部材320は、熱伝導材料(アルミニウムまたは窒化アルミニウム)で構成されてよい。半径方向の熱伝導(すなわち、個々の領域の間の熱伝導)の制御を改善すると共に、基板にわたって所望の温度プロファイルを実現するために、熱バリア332が、各領域328A〜328Eを隔てている。熱バリア332は、(図3に示すように)熱伝導部材320の厚さ全体を通して伸びていてもよいし、図4に示すように、熱伝導部材320の厚さの一部を通して伸びていてもよい。熱バリア332は、何も満たされなくてもよいし(すなわち、空隙)、約0.1W・m -1 K -1 から約4.0W・m -1 K -1 までの熱伝導率を実現するために充填材を含んでもよい。充填材の例としては、エポキシまたはシリコーンが挙げられる。充填材の熱伝導率は、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、酸化シリコン、および、シリコンなど、添加剤を用いて調整されてよい。
別の実施形態では、図5に示すように、半径方向の熱伝導は、熱伝導部材320を断熱材料で構成することによって制御される。断熱材料の例としては、酸化アルミニウムまたは酸化イットリウムなどのセラミック、もしくは、ステンレス鋼など、熱伝導率の低い金属合金が挙げられる。
図3に示すように、結合材334が、熱伝導部材320とベース部材310との間に挿入されてよい。結合材334は、エポキシまたはシリコーンで構成されてよく、拡大領域Aに示すように、1または複数の充填材334Aで満たされてよい。充填材334Aの例としては、酸化アルミニウム、窒化ホウ素、酸化シリコン、アルミニウム、または、シリコンが挙げられる。別の実施形態では、拡大領域Bに示すように、結合材は、金属ろう材334Bであってもよい。結合材334は、約0.1W・m -1 K -1 から約4W・m -1 K -1 までの熱伝導率を提供するよう選択され、約1ミルから約200ミルまでの厚さを有してよい。
図6は、図3の線C−C’に沿って切り取った円板状の熱伝導部材320を示す断平面図である。図6からわかるように、領域328A〜328Eは、円板の中心に対して異なる距離に同心円状に配置されており、流路330A〜330Eは、らせん状のパターンを有する。熱バリア332は、各領域を隔てる環状のチャネルである。
図7は、流路330A〜330Eと流体連通する温液源336および冷液源338と共に、熱伝導部材320を示す部分断面図である。領域328A〜328Eは、熱バリア332によって隔てられている。バルブ構成340は、(温液源336からの)温液と(冷液源338からの)冷液との混合比を調節することによって、各領域328A〜328Eにおける個々の温度を制御するよう動作可能である。制御部342は、各領域328A〜328E内の温度センサ344A〜344Eから入力信号を受信して、温液および冷液の適切な混合比を調節するようにバルブ構成340に独立的に指示する。別の実施形態では、各領域328A〜328E用の温度センサが、静電チャック322に組み込まれてもよい。
プラズマ処理中、基板326は、基板支持体300上に支持され、領域328A〜328Eと熱的に接触する。液体が、領域328A〜328Eに対応する流路330A〜330Eに流れる。個々の領域328A〜328Eの温度は、温度センサ344A〜344Eで測定され、温度センサは、入力信号を制御部342に供給する。制御部342は、(i)領域328A〜328Eの温度が目標温度よりも低い場合に、冷液に対する温液の混合比を高くすることによって、個々の流路330A〜330Eを流れる液体の温度を上げる、または、(ii)領域328A〜328Eの温度が目標温度よりも高い場合に、冷液に対する温液の混合比を低くすることによって、個々の流路330A〜330Eを流れる液体の温度を下げることができる。プラズマ処理中、熱伝導部材320および制御部342を備えた基板支持体300は、単一のウエハのプラズマ処理中に領域328A〜328Eの温度を独立的かつ動的に変化させることを可能にする。
図8Aは、それぞれ対応する流路430A〜430Eおよび温度センサ444A〜444Eを有する領域428A〜428Eを備えた別の実施形態の熱伝導部材420を示す部分断面図である。領域428A〜428Eは、熱バリア432によって隔てられている。温液源436および冷液源438は、共通ライン450A〜450E、バルブ452A〜452E’、第1の供給ライン454、および、第2の供給ライン456を介して、流路430A〜430Eと流体連通している。第1から第5のバルブ452A〜452Eは、共通ライン450A〜450Eおよび第1の供給ライン454と流体連通しており、第1の供給ライン454は、温液源436から温液を供給する。さらに、第6から第10のバルブ452A’〜452E’は、共通ライン450A〜450Eおよび第2の供給ライン456と流体連通しており、第2の供給ライン456は、冷液源438から冷液を供給する。
制御部442は、温度センサ444A〜444Eから入力信号を受信して、各流路において、温液源436から流れる温液と冷液源438から流れる冷液との混合比を個別に調節するために、バルブ452A〜452Eおよび452A’〜452E’を独立的に制御する。例えば、制御部442は、(i)共通ライン450Aを通して流路430Aに流れる温液対冷液の第1の混合比を調節するために、第1のバルブ452Aおよび第2のバルブ452A’を、(ii)共通ライン450Bを通して流路430Bに流れる温液対冷液の第2の混合比を調節するために、第3のバルブ452Bおよび第4のバルブ452B’を、(iii)共通ライン450Cを通して流路430Cに流れる温液対冷液の第3の混合比を調節するために、第5のバルブ452Cおよび第6のバルブ452C’を、(iv)共通ライン450Dを通して流路430Dに流れる温液対冷液の第4の混合比を調節するために、第7のバルブ452Dおよび第8のバルブ452D’を、そして、(v)共通ライン450Eを通して流路430Eに流れる温液対冷液の第5の混合比を調節するために、第9のバルブ452Eおよび第10のバルブ452E’を制御することができる。
図8Aの実施形態は、個々の領域428A〜428Eの温度を制御することによって、プラズマ処理中に基板426の半径に沿った温度を、単調に上昇または低下(連続的な温度の上昇または低下)、または、非単調に上昇または低下させることを可能にする。例えば、個々の領域428A〜428Eの温度は、半径方向の温度プロファイルが放物線または逆放物線(すなわち、単調)になるように設定されうる。ただし、各領域428A〜428Eの温度は、個別に制御できるため、別の実施形態では、半径方向の温度プロファイルが正弦曲線(すなわち、非単調)になるように設定されてもよい。
図8Bに示すように、流路430A〜430Eは、戻りライン446と流体連通しており、戻りライン446は、温液源436および/または冷液源438と流体連通している。したがって、流路430A〜430Eを出た液体は、温液源436および/または冷液源438に液体を戻すことによって再利用されうる。
温液源436は、温液の温度を約40℃から約150℃に維持し、冷液源438は、冷液の温度を約−10℃から約70℃に維持する。このように、図8Aおよび図8Bの実施形態は、プラズマ処理中に、中央部から縁部までの所望の温度プロファイルに応じて、各領域428A〜428Eで5つの異なる温度を実現することを可能にする。図8Aおよび図8Bには、5つの領域を示しているが、領域の数は、所望の半径方向の温度プロファイル制御の程度に応じた2以上の数であってよいことを理解されたい。一例では、冷液源は、≧−10℃の温度に冷液を維持し、温液源は、≦150℃の温度に温液を維持し、温液の温度は、冷液の温度よりも高い。
図9は、それぞれ対応する流路530A〜530Eおよび温度センサ544A〜544Eを有する領域528A〜528Eを備えた別の実施形態の熱伝導部材520を示す部分断面図である。領域528A〜528Eは、熱バリア532によって隔てられている。液体源536が、供給ライン550、第1から第4の移送ライン552A〜552D、および、戻りライン554と流体連通している。第1の加熱素子538Aが、供給ライン550に沿って配置されており、第2から第5の加熱素子538B〜538Eが、第1から第4の移送ライン552A〜552Dに沿って配置されている。第1から第5の加熱素子538A〜538Eは、供給ライン550および第1から第4の移送ライン552A〜552Dを流れる液体の温度を制御する。
制御部542は、温度センサ544A〜544Eから入力信号を受信して、加熱素子538A〜538Eを独立的に制御する。温度センサ544A〜544Eによって測定された温度が、目標温度より低い場合、制御部542は、適切な加熱素子538A〜538Eの内の1または複数を作動させる。第1の加熱素子538Aは、液体が第1の流路530Aに循環される前に、液体源536から流れた液体を第1の温度まで加熱する。第1の移送ライン552Aは、第1の流路530Aから第2の流路530Bに液体を流し、第2の加熱素子538Bは、第2の流路530Bに循環される前に、第1の移送ライン552Aに沿って流れる液体を第2の温度まで加熱する。第2の移送ライン552Bは、第2の流路530Bから第3の流路530Cに液体を流し、第3の加熱素子538Cは、第3の流路530Cに循環される前に、第2の移送ライン552Bに沿って流れる液体を第3の温度まで加熱する。第3の移送ライン552Cは、第3の流路530Cから第4の流路530Dに液体を流し、第4の加熱素子538Dは、第4の流路530Dに循環される前に、第3の移送ライン552Cに沿って流れる液体を第4の温度まで加熱する。第4の移送ライン552Dは、第4の流路530Dから第5の流路530Eに液体を流し、第5の加熱素子538Eは、第5の流路530Eに循環される前に、第4の移送ライン552Dに沿って流れる液体を第5の温度まで加熱する。第5の流路を出た液体は、戻りライン554に沿って液体源536に戻される。
第1ないし第5の移送ライン552A〜552Dを流れる液体は、順方向(図9の矢印で示す方向)または逆方向(図9では図示せず)に流れることができる。液体が順方向に流れる間、第1の温度は第2の温度よりも低く、第2の温度は第3の温度よりも低く、第3の温度は第4の温度よりも低くなり、結果として、領域528E(すなわち、中央部の領域)の温度が最大になる。同様に、液体が逆方向に流れる間、第1の温度は第2の温度よりも高く、第2の温度は第3の温度よりも高く、第3の温度は第4の温度よりも高くなり、結果として、領域528A(すなわち、縁部の領域)の温度が最大になる。
図9の実施形態は、プラズマ処理中に基板326の半径に沿って温度を単調に上昇または低下させることを可能にする。例えば、個々の領域528A〜528Eの温度は、半径方向の温度プロファイルが放物線または逆放物線(すなわち、単調)になるように設定されうる。
プラズマ処理(例えば、半導体、金属、または、誘電体のプラズマエッチング、もしくは、導電材料または誘電材料の蒸着)の間、熱伝導部材320/420/520を備えた基板支持体300は、≦1℃(より好ましくは、≦0.5℃)の方位角方向の温度均一性を維持しつつ、中央部から縁部までの半径方向の温度プロファイルを最大40℃変化させる能力を有する。さらに、かかる熱伝導部材320/420/520は、(1)均一な温度分布または(2)半径方向に変化する温度分布(例えば、縁部が高温または中央部が高温)を実現するための能力を提供し、それらは共に、最適な多層処理を可能にするためにプラズマ処理中に段階的に変更可能な温度制御を行うのに有用である。図10は、熱伝導部材320/420/520を用いたプラズマ処理中の中央部から縁部までの温度プロファイルの3例について、半径Rを有するウエハ上の半径方向位置の関数として半径方向の温度を示す図である:(A)中央部領域が縁部領域よりも熱い;(B)中央部領域が縁部領域よりも冷たい;(C)ウエハ全体にわたって均一な温度分布。
本発明の具体的な実施形態を参照しつつ本発明について説明したが、添付の特許請求の範囲を逸脱することなく、様々な変更および変形を行い、等価物を用いることが可能であることは、当業者にとって明らかである。

Claims (20)

  1. プラズマ処理装置の反応チャンバ内で用いる基板支持体であって、
    ベース部材と、
    前記ベース部材の上に位置する熱伝導部材であって、第1の流路を内部に備えた第1の領域と、第2の流路を内部に備えた第2の領域とを少なくとも含む複数の領域を有し、前記熱伝導部材の前記第1および第2の領域を個別に加熱および冷却するために、前記流路を通して液体を循環させることが可能である熱伝導部材と、
    前記熱伝導部材の上に位置する静電チャックであって、前記プラズマ処理装置の前記反応チャンバ内で基板を支持するための支持面を有する静電チャックと、
    前記第1および第2の流路と流体連通した冷液源および温液源と、
    前記第1および第2の流路内を循環する前記温液および前記冷液の混合比を調節することにより、前記第1および第2の領域内の前記液体の温度を独立的に制御するよう動作可能なバルブ構成と、
    前記第1および第2の流路内の前記温液および前記冷液の前記混合比を調節することによって前記第1および第2の領域内の前記温度を独立的に制御するために、前記バルブ構成を制御する制御部と
    を備える基板支持体。
  2. 請求項1に記載の基板支持体であって、さらに、
    前記第1および第2の領域の温度を測定して、入力信号を前記制御部に供給するよう適合された前記第1の領域の第1の温度センサおよび前記第2の領域の第2の温度センサと、
    前記第1および第2の領域を隔てる熱バリアと、
    前記熱伝導部材と前記ベース部材との間に位置し、0.1W・m -1 K -1 ら4W・m -1 K -1 までの熱伝導率を有し、1ミルから200ミルまでの厚さを有する結合材と
    を備える基板支持体。
  3. 請求項1に記載の基板支持体であって、
    前記冷液源は、≧−10℃の温度に前記冷液を維持し、前記温液源は、≦150℃の温度に前記温液を維持し、前記温液の温度は、前記冷液の温度よりも高い基板支持体。
  4. 請求項2に記載の基板支持体であって、前記熱伝導部材は円板であり、各領域は、前記円板の中心に対して異なる半径方向距離に同心円状に配置され、前記熱バリアは環状チャネルである基板支持体。
  5. 請求項4に記載の基板支持体であって、前記環状チャネルは空であるか、もしくは、0.1から4.0W・m -1 K -1 までの熱伝導率を有するエポキシ、シリコーン、または、その他の材料で満たされる基板支持体。
    請求項2に記載の基板支持体であって、前記結合材は、シリコーンまたはエポキシで構成され、酸化アルミニウム、窒化ホウ素、酸化シリコン、アルミニウム、または、シリコンを含む1または複数の充填材を含有するか、もしくは、前記結合材は、金属ろう材で構成される基板支持体。
  6. 請求項4に記載の基板支持体であって、前記環状チャネルは、前記熱伝導部材の厚さ全体を通して伸びるか、もしくは、前記熱伝導部材の厚さの一部を通して伸びる基板支持体。
  7. 請求項2に記載の基板支持体であって、前記結合材は、シリコーンまたはエポキシで構成され、酸化アルミニウム、窒化ホウ素、酸化シリコン、アルミニウム、または、シリコンを含む1または複数の充填材を含有するか、もしくは、前記結合材は、金属ろう材で構成される基板支持体。
  8. 請求項1に記載の基板支持体であって、前記熱伝導部材は、アルミニウムまたはアルミニウム合金で構成されるか、もしくは、ステンレス鋼、酸化アルミニウム、または、酸化イットリウムで構成される基板支持体。
  9. プラズマ処理中に半導体基板の方位角方向の温度を制御する方法であって、
    請求項1の基板支持体上に前記基板を支持する工程であって、前記基板は、前記複数の領域と熱的に接触する工程と、
    前記第1および第2の流路に前記液体を流す工程と、
    前記第1の領域の温度を測定し、前記第1の領域の前記温度が前記第1の領域の目標温度よりも低い場合に、前記冷液に対する前記温液の前記混合比を高くすることによって、前記第1の流路を流れる前記液体の前記温度を上昇させ、前記第1の領域の前記温度が前記目標温度よりも高い場合に、前記冷液に対する前記温液の前記混合比を低くすることによって、前記第1の流路を流れる前記液体の前記温度を低下させる工程と、
    前記第2の領域の温度を測定し、前記第2の領域の前記温度が前記第2の領域の目標温度よりも低い場合に、前記冷液に対する前記温液の前記混合比を高くすることによって、前記第2の流路を流れる前記液体の前記温度を上昇させ、前記第2の領域の前記温度が前記目標温度よりも高い場合に、前記冷液に対する前記温液の前記混合比を低くすることによって、前記第2の流路を流れる前記液体の前記温度を低下させる工程と
    を備え、
    各領域内の方位角方向の温度差は、5℃未満である方法。
  10. 請求項9に記載の方法であって、前記複数の領域にわたる前記方位角方向の温度差は、0.5℃未満であり、前記基板にわたる半径方向の温度プロファイルは、(a)前記基板全体にわたって温度が均一であるプロファイルと、(b)前記基板にわたって温度が非均一で、前記基板の中央部領域が前記基板の縁部領域よりも熱いまたは冷たいプロファイルとの間で段階的に変更可能である方法。
  11. 請求項9に記載の方法であって、前記第1の領域の前記目標温度および前記第2の領域の前記目標温度は、(a)基板半径に沿って単調に上昇または低下するか、もしくは、(b)前記基板半径に沿って非単調に上昇または低下する方法。
  12. 請求項9に記載の方法であって、さらに、
    前記反応チャンバ内に処理ガスを導入する工程と、
    前記処理ガスをプラズマ状態に励起する工程と、
    前記プラズマで前記基板を処理する工程と
    を備え、
    前記プラズマで前記基板を処理する工程は、(a)半導体材料、金属、または、誘電材料の層のプラズマエッチング、または、(b)導電材料または誘電材料の蒸着を含む方法。
  13. プラズマ処理装置であって、請求項1に記載の半導体基板支持体を備え、前記プラズマ処理装置は、半導体、金属、または、誘電材料をエッチングするよう適合されたプラズマエッチャ、もしくは、導電材料または誘電材料を蒸着するよう適合された蒸着チャンバであるプラズマ処理装置。
  14. プラズマ処理装置の反応チャンバ内で用いる基板支持体であって、
    ベース部材と、
    前記ベース部材の上に位置する熱伝導部材であって、第1の流路を備えた第1の領域と、第2の流路を備えた第2の領域とを有し、前記流路は、前記熱伝導部材の各領域を個別に加熱および冷却するために液体を循環させるよう適合されている熱伝導部材と、
    前記第1の流路と流体連通する第1の共通ラインと、
    前記第2の流路と流体連通する第2の共通ラインと、
    前記第1の共通ラインと温液源からの第1の供給ラインとに流体連通し、前記温液源から前記第1の共通ラインに流れる温液の流量を制御するよう動作可能な第1のバルブと、
    前記第1の共通ラインと冷液源からの第2の供給ラインとに流体連通し、前記冷液源から前記第1の共通ラインに流れる冷液の流量を制御するよう動作可能な第2のバルブと、
    前記第2の共通ラインと前記温液源からの前記第1の供給ラインとに流体連通し、前記第2の共通ラインに流れる前記温液の流量を制御するよう動作可能な第3のバルブと、
    前記第2の共通ラインと前記冷液源からの前記第2の供給ラインとに流体連通し、前記第2の共通ラインに流れる前記冷液の流量を制御するよう動作可能な第4のバルブと、
    (a)前記第1の流路に対する前記温液および前記冷液の第1の混合比を調節するために前記第1のバルブおよび前記第2のバルブを、ならびに、(b)前記第2の流路に対する前記温液および前記冷液の第2の混合比を調節するために前記第3のバルブおよび前記第4のバルブを、独立的に制御するよう動作可能な制御部と、
    前記熱伝導部材の上に位置する静電チャックであって、前記プラズマ処理装置の前記反応チャンバ内で基板を支持するための支持面を有する静電チャックと
    を備える基板支持体。
  15. 請求項14に記載の基板支持体であって、
    前記熱伝導部材は、第3の流路を備えた第3の領域と、第4の流路を備えた第4の領域と、第5の流路を備えた第5の領域とを有し、
    前記基板支持体は、さらに、
    前記第3の流路と流体連通する第3の共通ラインと、
    前記第4の流路と流体連通する第4の共通ラインと、
    前記第5の流路と流体連通する第5の共通ラインと、
    前記第3の共通ラインと前記温液源からの前記第1の供給ラインとに流体連通し、前記第3の共通ラインに流れる前記温液の流量を制御するよう動作可能な第5のバルブと、
    前記第3の共通ラインと前記冷液源からの前記第2の供給ラインとに流体連通し、前記第3の共通ラインに流れる前記冷液の流量を制御するよう動作可能な第6のバルブと、
    前記第4の共通ラインと前記温液源からの前記第1の供給ラインとに流体連通し、前記第4の共通ラインに流れる前記温液の流量を制御するよう動作可能な第7のバルブと、
    前記第4の共通ラインと前記冷液源からの前記第2の供給ラインとに流体連通し、前記第4の共通ラインに流れる前記冷液の流量を制御するよう動作可能な第8のバルブと、
    前記第5の共通ラインと前記温液源からの前記第1の供給ラインとに流体連通し、前記第5の共通ラインに流れる前記温液の流量を制御するよう動作可能な第9のバルブと、
    前記第5の共通ラインと前記冷液源からの前記第2の供給ラインとに流体連通し、前記第5の共通ラインに流れる前記冷液の流量を制御するよう動作可能な第10のバルブと
    を備え、
    前記制御部は、さらに、
    (c)前記第3の流路に対する前記温液および前記冷液の第3の混合比を調節するために前記第5のバルブおよび前記第6のバルブを、
    (d)前記第4の流路に対する前記温液および前記冷液の第4の混合比を調節するために前記第7のバルブおよび前記第8のバルブを、
    (e)前記第5の流路に対する前記温液および前記冷液の第5の混合比を調節するために前記第9のバルブおよび前記第10のバルブを、独立的に制御するよう動作可能である基板支持体。
  16. 請求項14に記載の基板支持体であって、前記熱伝導部材は円板であり、各領域は、前記円板の中心に対して異なる半径方向距離に同心円状に配置されている基板支持体。
  17. 請求項16に記載の基板支持体であって、前記第1の流路、前記第2の流路、前記第3の流路、前記第4の流路、および、前記第5の流路は、戻りラインに流体連通しており、前記戻りラインは、前記温液源および/または前記冷液源に流体連通している基板支持体。
  18. プラズマ処理装置の反応チャンバ内で用いる基板支持体であって、
    ベース部材と、
    前記ベース部材の上に位置する熱伝導部材であって、第1の流路を備えた第1の領域と、第2の流路を備えた第2の領域とを有し、前記流路は、前記熱伝導部材の各領域を個別に加熱および冷却するために液体を循環させるよう適合されている熱伝導部材と、
    前記第1の流路および液体源と流体連通する供給ラインと、
    前記供給ラインに沿って配置され、前記液体が前記第1の流路に循環される前に、前記液体源から流れる前記液体を第1の温度に加熱するよう適合された第1の加熱素子と、
    前記第1の流路および前記第2の流路と流体連通し、前記液体を前記第1の流路から前記第2の流路に流すよう適合された第1の移送ラインと、
    前記第1の移送ラインに沿って配置され、前記第2の流路に循環する前に、前記液体を第2の温度に加熱するよう適合された第2の加熱素子と、
    各加熱素子への電力を調節することによって各領域の温度を独立的に制御するように各加熱素子を制御する制御部と、
    前記熱伝導部材の上に位置する静電チャックであって、前記プラズマ処理装置の前記反応チャンバ内で基板を支持するための支持面を有する静電チャックと
    を備える基板支持体。
  19. 請求項18に記載の基板支持体であって、
    前記熱伝導部材は、第3の流路を備えた第3の領域と、第4の流路を備えた第4の領域と、第5の流路を備えた第5の領域とを有し、
    前記基板支持体は、さらに、
    前記第2の流路および前記第3の流路と流体連通し、前記液体を前記第2の流路から前記第3の流路に流すよう適合された第2の移送ラインと、
    前記第2の移送ラインに沿って配置され、前記第3の流路に循環する前に、前記液体を第3の温度に加熱するよう適合された第3の加熱素子と、
    前記第3の流路および前記第4の流路と流体連通し、前記液体を前記第3の流路から前記第4の流路に流すよう適合された第3の移送ラインと、
    前記第3の移送ラインに沿って配置され、前記第4の流路に循環する前に、前記液体を第4の温度に加熱するよう適合された第4の加熱素子と、
    前記第4の流路および前記第5の流路と流体連通し、前記液体を前記第4の流路から前記第5の流路に流すよう適合された第4の移送ラインと、
    前記第4の移送ラインに沿って配置され、前記第5の流路に循環する前に、前記液体を第5の温度に加熱するよう適合された第5の加熱素子と、
    前記第5の流路および前記液体源と流体連通し、前記液体を前記第5の流路から前記液体源に流すよう適合された戻りラインと
    を備える基板支持体。
  20. 請求項18に記載の基板支持体であって、さらに、各領域の温度を測定して入力信号を前記制御部に供給するよう適合された温度センサを各領域に備え、前記第1の移送ラインは、前記液体を前記第1の流路から前記第2の流路に順方向または逆方向で流すよう適合される基板支持体。
JP2011543856A 2008-11-12 2009-11-03 液体を制御された複数領域基板支持体による改良基板温度制御 Active JP5546552B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/292,081 2008-11-12
US12/292,081 US20100116788A1 (en) 2008-11-12 2008-11-12 Substrate temperature control by using liquid controlled multizone substrate support
PCT/IB2009/054876 WO2010055441A2 (en) 2008-11-12 2009-11-03 Improved substrate temperature control by using liquid controlled multizone substrate support

Publications (2)

Publication Number Publication Date
JP2012508991A JP2012508991A (ja) 2012-04-12
JP5546552B2 true JP5546552B2 (ja) 2014-07-09

Family

ID=42164256

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011543856A Active JP5546552B2 (ja) 2008-11-12 2009-11-03 液体を制御された複数領域基板支持体による改良基板温度制御

Country Status (7)

Country Link
US (1) US20100116788A1 (ja)
EP (1) EP2366039A4 (ja)
JP (1) JP5546552B2 (ja)
KR (2) KR20110083666A (ja)
CN (2) CN102197156A (ja)
TW (2) TWI589719B (ja)
WO (1) WO2010055441A2 (ja)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8021521B2 (en) * 2005-10-20 2011-09-20 Applied Materials, Inc. Method for agile workpiece temperature control in a plasma reactor using a thermal model
CN101921987A (zh) * 2009-06-10 2010-12-22 鸿富锦精密工业(深圳)有限公司 溅镀镀膜装置
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
CN102652352B (zh) * 2009-12-15 2015-12-02 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
TWI495757B (zh) * 2011-09-16 2015-08-11 Kern Energy Entpr Co Ltd 薄膜製程設備及其製作流程
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US10256123B2 (en) * 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102074632B1 (ko) * 2011-11-23 2020-02-06 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
CN103205717B (zh) * 2012-01-13 2016-12-21 鸿富锦精密工业(深圳)有限公司 盖体
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR20170109690A (ko) * 2012-04-26 2017-09-29 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
CN103792974B (zh) * 2014-01-22 2015-12-02 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
CN103757608B (zh) * 2014-01-22 2016-05-11 清华大学 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103726034B (zh) * 2014-01-22 2017-01-25 清华大学 用于工艺腔室的基台及其控制方法、托盘及其设计方法
CN103792842B (zh) * 2014-01-22 2016-08-17 清华大学 一种可用于功率场空间分布精细控制的基台及控制方法
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
KR102411194B1 (ko) 2014-09-04 2022-06-20 삼성전자주식회사 냉매의 양방향 흐름이 가능한 정전척 어셈블리 및 이를 구비한 반도체 제조장치
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102307839B1 (ko) * 2014-10-24 2021-10-05 세메스 주식회사 웨이퍼 지지용 척 구조물
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) * 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104947088B (zh) * 2015-06-16 2017-11-14 清华大学 调节温度场和/或等离子场的阻抗可控模块
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6584286B2 (ja) 2015-10-26 2019-10-02 日本発條株式会社 ヒータユニット
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10764966B2 (en) 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101910347B1 (ko) * 2016-12-05 2018-10-23 주식회사 글로벌스탠다드테크놀로지 반도체 제조설비의 고도화 온도제어장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180233321A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Ion directionality esc
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN106893982A (zh) * 2017-03-30 2017-06-27 京东方科技集团股份有限公司 一种冷却板和蒸镀装置
WO2018183557A1 (en) * 2017-03-31 2018-10-04 Lam Research Corporation Electrostatic chuck with flexible wafer temperature control
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11107708B2 (en) * 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510564B2 (en) * 2018-01-10 2019-12-17 Lam Research Corporation Dynamic coolant mixing manifold
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
CN111441038B (zh) * 2020-03-20 2023-08-22 华南理工大学 一种基于化学气相沉积法的纳米材料打印机
CN111415887A (zh) * 2020-03-27 2020-07-14 宁波润华全芯微电子设备有限公司 一种晶圆加热装置
CN113707591A (zh) * 2020-05-22 2021-11-26 细美事有限公司 静电卡盘和其制造方法以及基板处理装置
CN113512665B (zh) * 2021-07-14 2021-12-21 上海铂世光半导体科技有限公司 一种合金材料的特殊水道设计的散热台
WO2023076321A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Modulating thermal conductivity to control cooling of showerhead
WO2023239585A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Substrate temperature control with integrated thermoelectric cooling system
CN116313946B (zh) * 2023-05-24 2023-10-17 长鑫存储技术有限公司 温度调节系统及调节方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5538529A (en) * 1993-09-10 1996-07-23 Bion Technologies, Inc. Bioconverted nutrient rich humus
JPH0863236A (ja) * 1994-08-22 1996-03-08 Komatsu Electron Kk 温度制御装置
US5938943A (en) * 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US6415858B1 (en) * 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6866094B2 (en) * 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
JPH11329926A (ja) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd 基板冷却装置および基板冷却方法
US5996353A (en) * 1998-05-21 1999-12-07 Applied Materials, Inc. Semiconductor processing system with a thermoelectric cooling/heating device
JP2000031253A (ja) * 1998-07-10 2000-01-28 Komatsu Ltd 基板処理装置及び方法
WO2000074117A1 (en) * 1999-05-27 2000-12-07 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
JP2004507886A (ja) * 2000-07-21 2004-03-11 テンプトロニック コーポレイション 温度制御された自動試験用熱プラットフォーム
JP3817414B2 (ja) * 2000-08-23 2006-09-06 株式会社日立製作所 試料台ユニットおよびプラズマ処理装置
JP5000842B2 (ja) * 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
EP1391140B1 (en) * 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US7160105B2 (en) * 2001-06-01 2007-01-09 Litrex Corporation Temperature controlled vacuum chuck
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6771086B2 (en) * 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6886347B2 (en) * 2002-07-11 2005-05-03 Temptronic Corporation Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
CN100452306C (zh) * 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置

Also Published As

Publication number Publication date
TW201033398A (en) 2010-09-16
WO2010055441A2 (en) 2010-05-20
WO2010055441A3 (en) 2010-07-08
TWI589719B (zh) 2017-07-01
CN102197156A (zh) 2011-09-21
KR20160141873A (ko) 2016-12-09
JP2012508991A (ja) 2012-04-12
TW201631190A (zh) 2016-09-01
US20100116788A1 (en) 2010-05-13
TWI546408B (zh) 2016-08-21
EP2366039A2 (en) 2011-09-21
KR20110083666A (ko) 2011-07-20
EP2366039A4 (en) 2013-09-18
CN105603376A (zh) 2016-05-25

Similar Documents

Publication Publication Date Title
JP5546552B2 (ja) 液体を制御された複数領域基板支持体による改良基板温度制御
US12002661B2 (en) Susceptor having cooling device
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP6076246B2 (ja) ゾーン依存熱効率性を備えた温度制御されたプラズマ処理チャンバ部品
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
JP2021525454A (ja) 極めて均一性が高い加熱基板支持アセンブリ
JP4969259B2 (ja) プラズマ処理装置
US20200176230A1 (en) Plasma processing apparatus and method of manufacturing semiconductor device using the same
US11784080B2 (en) High temperature micro-zone electrostatic chuck
WO2021201989A1 (en) High temperature micro-zone electrostatic chuck
US20140209242A1 (en) Substrate processing chamber components incorporating anisotropic materials
US11482444B2 (en) High temperature micro-zone electrostatic chuck

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121024

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140513

R150 Certificate of patent or registration of utility model

Ref document number: 5546552

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250