KR101118863B1 - 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법 - Google Patents

유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법 Download PDF

Info

Publication number
KR101118863B1
KR101118863B1 KR1020067009950A KR20067009950A KR101118863B1 KR 101118863 B1 KR101118863 B1 KR 101118863B1 KR 1020067009950 A KR1020067009950 A KR 1020067009950A KR 20067009950 A KR20067009950 A KR 20067009950A KR 101118863 B1 KR101118863 B1 KR 101118863B1
Authority
KR
South Korea
Prior art keywords
substrate holder
cooling
disposed
heating member
heating
Prior art date
Application number
KR1020067009950A
Other languages
English (en)
Other versions
KR20060127387A (ko
Inventor
토마스 하멜린
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060127387A publication Critical patent/KR20060127387A/ko
Application granted granted Critical
Publication of KR101118863B1 publication Critical patent/KR101118863B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 지지하는 기판 홀더가 제공된다. 지지면에 인접하여 지지면과 냉각 부재 사이에 가열 부재가 배치된다. 냉각 부재와 가열 부재 사이의 열 전도성을 상승시키기 위해 유체를 수용하도록 구성된 유체 간극이 냉각 부재와 가열 부재 사이에 배치된다. 냉각 부재와 가열 부재 사이에서 유체 간극에 인접하여 브레이징 재료가 배치된다.

Description

유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는 방법{SUBSTRATE HOLDER HAVING A FLUID GAP AND METHOD OF FABRICATING THE SUBSTRATE HOLDER}
본 발명은 일반적으로는 반도체 처리 시스템에 관한 것으로, 보다 구체적으로는 유체 간극을 갖는 기판 홀더 및 이 기판 홀더를 제조하는 방법에 관한 것이다.
많은 처리 공정(예를 들면, 화학적 혹은 플라즈마 유도식 에칭 및 증착)은 기판(웨이퍼로 칭하기도 함)의 순간 온도에 상당히 의존하고 있다. 따라서, 기판의 온도를 제어하는 능력이 반도체 처리 시스템의 필수적인 특성이다. 게다가, 동일 진공 챔버 내에서 상이한 조건을 요구하는 여러 공정을 급속하게(몇몇 중요한 경우에는 주기적으로) 적용하는 것은 기판의 온도를 급속하게 변화시키고 제어하는 능력이 요구된다. 기판의 온도를 제어하는 한 가지 방법은 기판 홀더(척으로 칭하기도 함)를 가열 또는 냉각시킴으로써 이루어진다. 기판 홀더의 보다 급속한 가열 및 냉각을 달성하는 방법은 종래에 제안되어 적용되고 있지만 기존의 방법들 어느 것도 그러한 산업에서 늘어나는 요구 조건을 만족시키기에 충분하게 빨리 온도를 제어하지는 못하고 있다.
예를 들면, 기존의 시스템에서는 척 내의 채널을 통해 액체를 흐르게 하는 것이 기판 냉각의 한 방법이 었다. 그러나, 액체의 온도는 소음 또는 그 크기라는 부분적인 이유로 인해 통상 척 조립체로부터 원거리에 위치하는 냉각기에 의해 제어되고 있다. 그러한 냉각기 유닛에 있어서 또한 냉각액의 상당한 체적과, 가열 및 냉각 능력의 제약으로 인해 온도를 급속하게 변화시키는 능력에는 한계가 있다. 게다가, 척이 원하는 온도 설정에 도달하는 데에는 대개 그 척 블록의 크기 및 재질에 따라 추가적인 시간 지연이 있다. 이러한 요소는 얼마나 급속하게 기판을 원하는 온도로 가열 또는 냉각시킬 수 있는 가를 제한한다.
기판을 가열하도록 기판 홀더에 내장된 전기적 히터를 사용하는 것을 비롯한 다른 방법 또한 제안되어 사용되고 있다. 내장 히터는 기판 홀더의 온도를 상승시키기는 하지만, 여전히 기판 홀더의 냉각을 냉각기에 의해 제어된 냉각액에 의존하고 있다. 또한, 내장 히터에 인가될 수 있는 전력량도 그 내장 히터와 직접 접촉하는 척 재료가 영구히 손상될 수 있기 때문에 제한되어 있다. 기판 홀더의 상면에서의 온도의 균일성 또한 필수적인 요소로서, 이 또한 가열 속도를 제한한다. 이러한 요소 모두는 기판의 온도 변화를 얼마나 급속하게 달성할 수 있는 가에 대한 제약이 되고 있다.
또, 그러한 기판 홀더를 제조하기 위해, 내장 히터와 냉각 유체 채널 조립체는 만족스러운 강도의 접속이 얻어지도록 서로에 대해 부착될 수 있어야 한다. 그러나, 부착 방법은 기판 홀더의 온도를 급속하게 변화시키는 능력을 불만족스럽게 간섭하지 않아야 한다.
본 발명의 목적은 종래의 기판 처리 장치에서 전술한 문제점 및/또는 기타 문제점을 해소 및 감소시키는 데에 있다.
본 발명의 다른 목적은, 만족스러운 강도를 제공하면서 기판 홀더의 온도를 급속하게 변화시키는 능력을 불만족스럽게 간섭하거나 하지 않는 방식으로 서로에 부착된 히터와 냉각 채널의 조립체를 제공하는 데에 있다.
본 발명의 전술한 목적 및/또는 기타 목적은 지지면에 인접하여 이 지지면과 냉각 부재 사이에 위치하는 가열 부재를 포함할 수 있는 기판 지지용 기판 홀더에 의해 제공될 수 있다. 냉각 부재와 가열 부재 사이에는 이들 냉각 부재와 가열 부재 사이의 열 전도성을 제어하기 위해 유체를 수용하도록 구성된 유체 간극이 배치될 수 있다. 냉각 부재와 가열 부재 사이에는 이들 부재를 접합하기 위해 브레이징 재료가 배치될 수 있으며, 이 브레이징 재료는 유체 간극에 인접하여 배치된다.
본 발명은 또한 유체 간극 안으로 브레이징 재료가 흐르는 것을 방지하는 수단을 포함할 수 있는 기판 홀더를 제공한다.
본 발명의 바람직한 실시예에서, 상기 수단은 홈을 포함할 수 있다.
본 발명은 또한 가열 부재 및 냉각 부재를 포함하는 기판 홀더를 제조하는 방법으로서, 냉각 부재와 가열 부재 사이의 열 전도성을 제어하기 위해 유체를 수용하도록 구성된 유체 간극을 제공하는 단계와, 유체 간극에 인접하여 가열 부재와 냉각 부재 사이에 브레이징 재료를 삽입하는 단계를 포함하는 기판 홀더 제조 방법을 제공한다.
본 명세서 내에 포함되어 그 일부를 구성하는 첨부 도면은 현재의 본 발명의 바람직한 실시예를 예시하며, 전술한 개괄적인 설명 및 후술하는 바람직한 실시예의 상세한 설명과 함께 본 발명의 사상을 설명하는 역할을 한다.
도 1은 본 발명의 예시적인 실시예에 따른 반도체 처리 장치의 개략도이다.
도 2는 도 1의 기판 홀더의 단면도이다.
도 3은 도 2의 기판 홀더의 일부의 상세도이다.
이하, 도면을 참조하여, 본 발명의 여러 실시예에 대해 설명하며, 여러 도면에 걸쳐 동일 도면 부호는 동일 또는 대응하는 구성 요소를 나타내고 있다.
도 1에는 예를 들면 화학적 및/또는 플라즈마 처리에 사용될 수 있는 반도체 처리 시스템(1)이 도시되어 있다. 이 처리 시스템(1)은, 진공 처리 챔버(10), 지지면(22)을 갖는 기판 홀더(20), 및 이 기판 홀더(20)에 의해 지지되는 기판(30)을 포함한다. 처리 시스템(1)은 또한 처리 챔버(10) 내에 감압 분위기를 제공하는 펌핑 시스템(40)과, 전원(130)에 의해 전력이 공급되는 내장형 전기적 가열 부재(50)와, 냉각 채널 조립체(120)에 의해 제어되는 액체의 흐름을 위한 채널을 갖는 내장형 냉각 부재(60)를 포함한다. 가열 부재(50)와 냉각 부재(60) 사이에는 유체 간극(90)이 마련된다. 기판 홀더(20)의 가열 및 냉각을 용이하게 위해 도관(98)을 통해 유체 간극(90)에 유체(90)를 공급하고 그로부터 유체를 제거하도록 유체 공급 유닛(140)이 마련되어 있다. 비한정적 예로서, 유체는 헬륨(He) 가스일 수 있고, 대안적으로 유체 간극(90)에 걸쳐서의 열 전도성을 급속하게 현저히 증가 및 감소시킬 수 있는 임의의 다른 유체일 수 있다.
도 2 및 도 3에는 기판(30)과 관련한 기판 홀더(20)의 추가적인 세부 사항이 도시되어 있다. 도면에 도시한 바와 같이, 헬륨의 배면 흐름(80)은 기판 홀더(20)와 기판(30) 간의 열 전도성을 향상시키기 위해 헬륨 공급원(도시 생략)으로부터 제공된다. 향상된 열 전도성은 가열 부재(50)에 바로 인접하는 지지면(22)의 급속한 온도 제어에 의해 기판(30)의 온도 제어를 급속하게 하는 것을 보장한다. 지지면(22)에서의 홈은 웨이퍼 배면의 가스 분배를 위해 사용된다. 배면 흐름에 통상 사용되는 가스는 He 및 Ar이지만, 다른 가스도 사용될 수 있다. 기판 홀더(20)는 기판 홀더(20)에 기판(30)을 정전기적으로 클램핑하는 데에 필요한 정전 클램핑 전극(도시 생략)과, 이에 상응하는 DC 전원 및 연결 부재를 포함한다.
도 2 및 도 3에 도시한 바와 같이, 가열 부재(50)는 이 가열 부재(50) 및 냉각 부재(60)의 작동 중에 열을 기판 홀더(20) 및 나아가서는 기판(30)으로 전달하고 그리고 이들에서부터 전달하도록 된 본체부(52)를 구비할 수 있다. 이 본체부(52)는 알루미늄 합금으로 제조될 수 있고, 가계 가공 또는 주조에 의해 형성될 수 있다. 본 발명의 바람직한 실시예에서, 본체부(52)는 주조 알루미늄 합금(cast aluminum alloy)으로 제조된다.
본체부(52)는 내장 히터(54)를 포함할 수 있다. 이 내장 히터(54)는 하나 이상의 히터 구역을 포함할 수 있고, 이들 히터 구역은 본체부(52)의 부분들을 가열하여 열이 기판 홀더(20) 및 기판(30)으로 전달되도록 개별적으로 전력이 공급될 수 있다. 본 발명의 바람직한 실시예에서, 본체부(52)는 가열 부재(50)가 본체부(52) 및 내장 히터(54)를 포함하는 일체형 부재를 이루도록 개별적으로 전력이 공급되고 가열 부재(50)의 본체부(52) 내에 캐스팅(casting)되는 2개의 히터 구역을 포함한다.
본체부(52) 및 내장 히터(54)를 포함하는 바람직한 가열 부재(50)의 예로는 직경 약 0.4㎜의 인코넬 합금의 외부 튜브를 구비한 평판 히터(plate heater)와, 미국 미주리주 세인트루이스 소제의 Watlow Electric Manufacturing Co.로부터 입수 가능한, 산화마그네슘으로 된 외부 튜브로부터 절연된 니크롬 합금 와이어 히터가 있다.
도 2 및 도 3에 도시한 바와 같이, 냉각 부재(60)는 이 냉각 부재(60)의 작동 중에 기판 홀더(20) 및 나아가서는 기판(30)에서부터 열을 전달하도록 된 상부 캡(62) 및 하부 캡(64)을 포함할 수 있다.
상부 캡(62)은, 냉각 채널 조립체(120)에 의해 제어되는 액체의 흐름을 수용하고, 이에 의해 냉각 부재를 냉각시키고 나아가서는 기판 홀더(20) 및 기판(30)을 냉각시키도록 된 복수 개의 채널(66)을 포함할 수 있다. 상부 캡(62)은 알루미늄 합금으로 제조될 수 있고, 기계 가공 또는 주조에 의해 형성될 수 있다. 본 발명의 바람직한 실시예에서, 상부 캡(62)은 주조 알루미늄 합금으로 이루어지며, 보다 바람직하게는 가열 부재(50)의 본체부(52)와 동일한 주조 알루미늄 합금으로 이루어진다.
냉각 부재(60)의 하부 캡(64)은 냉각 부재(60)로부터 액체가 원치 않게 누설 되는 것을 방지하도록 상부 캡(62)의 채널(66)과 함께 폐쇄된 용적을 형성하는 평탄면을 포함할 수 있다. 하부 캡(64)은 알루미늄 합금으로 제조될 수 있고, 및/또는 주조에 의해 형성될 수 있다. 따라서, 본 발명의 바람직한 실시예에서, 하부 캡(64)은 주조 알루미늄 합금으로 이루어지며, 보다 바람직하게는 냉각 부재(60)의 상부 캡(62)과 동일한 주조 알루미늄 합금으로 이루어지며, 또 보다 바람직하게는 가열 부재(50)의 본체부(52)와도 동일한 주조 알루미늄 합금으로 이루어진다.
도면에서는 상부 캡(62)에 전체적으로 배치된 채널(66)을 포함하는 냉각 부재(60)의 특정 바람직한 실시예에 대해 도시하고 있지만, 채널(66)은 상부 캡(62)과 하부 캡(64) 중 어느 하나 또는 둘 모두에 배치될 수 있다.
도 2 및 도 3에 도시한 바와 같이, 유체 간극(90)은 냉각 부재(60)의 상측 내부 표면과 가열 부재(50)의 하측 내부 표면 사이에 마련되어, 이들 표면을 서로에 대해 매우 근접하게(즉, 몇 미크론 범위 내외, 바람직하게는 약 50 미크론) 유지하면서 유체 간극(90)에 걸쳐 열 전도성을 최소화할 수 있다. 이러한 구성에 있어서, 유체 간극(90)에 걸쳐서의 열 전도성은 유체(92)의 유입 및 배출에 의해 2 오더의 크기(two order of mangnitude) 이상씩 제어 가능한 방식으로 변화될 수 있다.
유체 간극(90)의 세부 사항은 본원 출원인 명의의 출원 계속중인 미국 특허 출원 번호 제10/670,292호(대리인 Docket No. 237539US6YA)에 논의되어 있으며, 그 개시 내용 전체는 본 명세서에 참조로 인용한다. 상기 출원 계속 중인 미국 특허 출원에서 논의한 바와 같이 유체 간극(90)은 단일 구역 시스템을 형성할 수 있고, 도면들에 도시한 바와 같이 내부 홈 및 내부 포트(도시 생략)에 의해 형성된 제1 구역(94a)과 외부 홈 및 외부 포트(도시 생략)에 의해 형성된 제2 구역(94b)을 포함하는 이중 구역 시스템을 형성할 수 있다. 이러한 이중 구역 시스템에서, 내부 홈은 기판 홀더(20)의 제1 구역(94a)에서의 압력, 열 전도성 및/또는 온도를 제어하며, 외부 홈은 기판 홀더(20)의 제2 구역(94b)에서의 그러한 상태를 제어한다. 단일 구역 시스템 또는 이중 구역 시스템에서의 어떠한 홈도 가열 부재(50) 및 냉각 부재(60)에 전체적으로 또는 부분적으로 배치될 수 있고, 보다 구체적으로는 가열 부재(50)의 본체부(52) 및 냉각 부재(60)의 상부 캡(62)에 배치될 수 있다.
기판 홀더(20)의 구성 부재들 간의 상세한 결합 관계에 대해 이하에 설명한다.
도 1 내지 도 3에 도시된 시스템은 단지 예시적인 것으로서 다른 구성 부재를 포함할 수 있다는 것을 이해할 것이다. 예를 들면, 처리 시스템(1)은 또한 RF 전원, RF 전력 공급부, 웨이퍼의 배치 및 제거를 위한 핀, 열 센서, 및 당업계에 알려진 임의의 기타 구성 부재를 포함할 수 있다. 처리 시스템(1)은 또한 진공 챔버(10)로 들어오는 처리 가스 라인과, 진공 챔버(10) 내의 가스를 플라즈마로 되게 여기시키는 제2 전극(용량성 결합형 시스템용) 또는 RF 코일(유도성 결합형 시스템용)을 포함할 수 있다. 본 발명은 또한 웨이퍼의 급속 열처리(rapid thermal processing)와 같은 비(非)플라즈마 처리에도 적용될 수 있다.
본 발명의 다양한 실시예들은 아래와 같이 조작될 수 있다. 가열 단계 중에, 가열 부재(50)에 전력이 공급되는 한편, 유체(92)가 유체 간극(90)으로부터 10Torr 근처의 저압까지 배출된다. 이러한 식으로, 유체 간극(90)에 걸쳐서의 열 전도성은 그 유체 간극(90)이 열 장벽으로서 기능을 하도록 현저하게 감소된다. 즉, 배출 단계는 냉각 부재(60)를 직접 둘러싸는 기판 홀더(20)의 부분을 가열 부재(50)를 직접 둘러싸는 기판 홀더(20)로부터 효과적으로 분리시킨다. 따라서, 가열 부재(50)에 의해 가열되는 기판 홀더(20)의 대부분은 가열 부재(50) 바로 위에서 이를 둘러싸는 기판 홀더(20)의 부분만으로 효과적으로 삭감되어, 지지면(22) 및 웨이퍼(30)의 급속 가열이 가능하게 된다. 가열 부재(50)를 사용하는 대신에, 진공 챔버(10) 내에 생성된 플라즈마로부터의 열 유속(heat flux)과 같은 외부의 열 유속에 의해 가열될 수도 있다.
냉각 단계에서, 가열 부재(50)는 작동을 중지하며, 유체(92)가 유체 공급 유닛(140)으로부터 유체 간극(90)으로 공급되고, 냉각 부재(60)가 작동한다. 유체 간극(90)이 유체(92)에 의해 채워져 보다 높은 압력, 예를 들면 대기압(760 Torr) 으로 되면, 유체 간극(90)에 걸쳐서의 열 전도성은 현저히 상승하며, 이에 따라 냉각 부재(60)에 의한 지지면(22) 및 웨이퍼(30)의 급속 냉각이 이루어진다. 가열 부재(50)의 본체부(52)와 냉각 부재(60)의 상부 캡(62)과의 사이가 접촉하여, 유체(92)가 유체 간극(90)으로부터 흘러나오는 것이 방지된다.
본 발명의 다양한 실시예들이 조립 및 제조되거나 아래와 같이 형성될 수 있다. 전술한 바와 같이, 채널(66)은 냉각 부재(60)의 상부 캡(62)과 하부 캡(64) 중 어느 하나 혹은 둘 모두에 형성될 수 있다. 냉각 부재(60)의 상부 캡(62) 및 하부 캡(64)은 서로 연결될 수 있고, 이에 의해 채널(66)을 폐쇄시킬 수 있다. 적절한 재료로 형성된 상부 캡(62) 및 하부 캡(64)은, 시트 형태일 수 있는 브레이징 재료(brazing material)(82)를 상부 캡(62)의 표면과 하부 캡(64)의 표면 사이에 삽입하고, 그 브레이징 재료(82)의 용융점보다 높고 상부 캡(62) 및 하부 캡(64) 중 하나 또는 둘 모두의 용융점보다 낮은 온도로 냉각 부재(60)를 가열함으로써 서로에 브레이징될 수 있다. 따라서, 동일한 주조 알루미늄 합금으로 형성된 상부 캡(62) 및 하부 캡(64)을 포함하는 본 발명의 바람직한 실시예에서는 상부 캡(62)이 하부 캡(64)에 브레이징되어 냉각 부재(60)를 형성할 수 있다.
가열 부재(50)의 본체부(52)는 내장 히터(54)와 함께 주조될 수 있고, 이에 의해 가열 부재(50)를 형성할 수 있다.
가열 부재(50)와 냉각 부재(60), 보다 구체적으로는 가열 부재(50)의 본체부(52)와 냉각 부재(60)의 상부 캡(62)은 서로 연결될 수 있다. 앞서 발명의 배경 부분에서 설명한 바와 같이, 가열 부재(50) 및 냉각 부재(60)는 만족스런 강도 및 열 전도성을 갖는 접속이 얻어지도록 서로에 대해 부착되어야 할 것이다. 본 발명의 실시예에 따르면, 적절한 재료로 형성된 가열 부재(50)의 본체부(52) 및 냉각 부재(60)의 상부 캡(62)은 시트 형태일 수 있는 브레이징 재료(84)를 가열 부재(50)의 표면과 냉각 부재(60)의 표면 사이에 삽입하여 서로에 브레이징될 수 있다. 가열 부재(50) 및 냉각 부재(60)는 브레이징 재료(84)의 용융점보다 높고 가열 부재(50) 및 냉각 부재(60) 중 하나 또는 둘 모두의 용융점보다 낮은 온도로 가열될 수 있다. 따라서, 동일한 주조 알루미늄 합금으로 형성된 본체부(52)와 상부 캡(62)을 포함하는 본 발명의 바람직한 실시예에서, 가열 부재(50)와 냉각 부재(60)가 연결되도록 본체부(52)가 상부 캡(62)에 브레이징되어, 기판 홀더(20)의 적어도 일부를 형성할 수 있다.
그러나, 본 발명자들은 가열 부재(50)와 냉각 부재(60)를 브레이징한 결과 브레이징 재료(84)가 본체부(52)와 상부 캡(62) 사이의 유체 간극(90) 안으로 흘러 들어, 유체(92)가 충전 또는 배출되도록 구성된 유체 간극(90)에 의해 제공되는 이점이 없어짐을 인식하였다. 그러한 브레이징 재료(84)의 유체 간극(90) 안으로의 원하지 않는 흐름을 감소 또는 방지하기 위해, 본체부(52) 및/또는 상부 캡(62)에 홈(70)이 마련될 수 있다. 홈(70)은 브레이징 재료(84)가 홈(70)을 가로질러 흐르는 것을 방지하는 크기, 형상 및/또는 방향으로 형성될 수 있다. 홈(70)은 동심의 원으로 형성될 수 있고, 가열 부재(50) 및 냉각 부재(60) 중 어느 하나 또는 둘 모두[즉, 본체부(52) 및/또는 상부 캡(62)]에 형성될 수 있다. 복수 개의 평행 및/또는 동심의 홈(70)이 사용될 수도 있다.
지지면(22)은 본체부(52)와 연결될 수 있다. 본 발명의 바람직한 실시예에서, 지지면(22)은 실리콘계 접착제 등과 같은 접착제에 의해 본체부(52)에 기계적으로 연결될 수 있다. 그러한 접착제는 접합된 부품의 상이한 열팽창을 가능하게 해야 한다.
기판 홀더(20)는 브레이징 후에 어닐링, 경질 양극 산화 피막 처리, 알루미나 또는 이트리아(yttria) 세라믹 분사 코팅 등으로 처리되어, 기판 홀더(20)의 구성 부재 중 하나 이상을 브레이징하는 중에 획득된(compromised) 특성(즉, 잔류 응력 완화를 위한 어닐)을 비롯하여, 가열 부재(50) 및 냉각 부재(60)에 원하는 기계적 특성, 강도, 내플라즈마성 또는 기타 원하는 특성을 제공할 수 있다.
본 발명은 효율적인 온도 제어 또는 급속 온도 제어가 중요한 여러 시스템에 효과적으로 적용될 수 있다. 그러한 시스템은 플라즈마 처리, 비플라즈마 처리, 화학적 처리, 에칭 처리, 증착 처리, 성막 처리, 또는 에싱 처리(ashing)를 사용하는 시스템을 포함하며, 이에 한정되지는 않는다. 본 발명은 또한 반도체 웨이퍼 이외의 타겟 물체, 예컨대 LCD 유리 기판 또는 유사한 소자를 위한 플라즈마 처리 장치에도 적용될 수 있다. 게다가, 본 발명은, 가열 부재(50)가 하나 이상의 열전 소자[펠티에(Peltier) 소자]를 포함한다거나 플루오로화 유전성 액체(fluorinated dielectric liquid), 물 또는 증기가 고온으로 흘러 지나갈 수 있는 냉각 채널(60)과 유사한 하나 이상의 채널을 포함한다거나 하는 다수의 대안적 실시예로 구현될 수 있다. 또한, 냉각 부재(60)가 하나 이상의 열전 소자(펠티에 소자)를 포함할 수 있다.
본 발명은 본 발명의 사상 또는 근본적인 특징으로부터 벗어나지 않고, 다른 특유의 형태로 실시될 수 있다. 따라서, 본 명세서에 개시된 실시예는 모든 면에서 한정적인 것이 아니라 예시적인 것으로 고려해야 한다. 본 발명의 범위는 전술한 발명의 상세한 설명보다는 첨부된 청구의 범위에 의해 정해지며, 그 청구 범위에서의 의미, 범위 및 등가물에 포함되는 모든 변형은 포함된다.

Claims (47)

  1. 기판을 지지하는 기판 홀더로서,
    지지면과,
    냉각 부재와,
    상기 지지면에 인접하여 상기 지지면과 상기 냉각 부재 사이에 배치되는 가열 부재와,
    상기 냉각 부재와 상기 가열 부재 사이에 배치되어, 상기 냉각 부재와 상기 가열 부재 사이의 열 전도성을 변화시키기 위해 유체를 수용하도록 구성된 유체 간극과,
    상기 냉각 부재와 상기 가열 부재 사이에서 상기 유체 간극에 인접하여 배치되는 브레이징 재료(brazing material)와,
    상기 냉각 부재와 상기 가열 부재 사이에 배치되어, 상기 유체 간극 안으로 브레이징 재료가 흐르는 것을 방지하도록 구성된 하나 이상의 분리용 홈
    을 포함하는 기판 홀더.
  2. 제1항에 있어서, 상기 가열 부재는 본체부와 이 본체부 내에 배치된 내장 히터를 포함하는 것인 기판 홀더.
  3. 제2항에 있어서, 상기 본체부는 알루미늄 합금을 포함하며, 상기 내장 히터는 알루미늄 합금 내에 캐스팅(casting)되는 것인 기판 홀더.
  4. 제1항에 있어서, 상기 냉각 부재는 상부 캡과 하부 캡을 포함하는 것인 기판 홀더.
  5. 제4항에 있어서, 상기 상부 캡은 냉각 유체를 수용하도록 구성된 복수 개의 채널을 포함하는 것인 기판 홀더.
  6. 제5항에 있어서, 상기 하부 캡은 평탄한 상부면이 상기 상부 캡에 인접해 있는 플레이트를 포함하는 것인 기판 홀더.
  7. 제6항에 있어서, 상기 상부 캡과 상기 하부 캡 사이에 배치되는 제2 브레이징 재료를 더 포함하는 기판 홀더.
  8. 제1항에 있어서, 상기 지지면과 상기 가열 부재 사이에 배치되는 기계적 연결부를 더 포함하는 기판 홀더.
  9. 제8항에 있어서, 상기 기계적 연결부는 접착제를 포함하는 것인 기판 홀더.
  10. 제1항에 있어서, 상기 유체 간극은 상기 가열 부재와 상기 냉각 부재 중 하나 이상에 있는 적어도 하나의 유체 간극 홈을 포함하는 것인 기판 홀더.
  11. 제10항에 있어서, 상기 유체 간극 홈은 상기 냉각 부재에 배치되는 것인 기판 홀더.
  12. 제10항에 있어서, 상기 유체 간극 홈은 상기 가열 부재에 배치되는 것인 기판 홀더.
  13. 삭제
  14. 제1항에 있어서, 상기 분리용 홈의 적어도 일부는 상기 냉각 부재에 배치되는 것인 기판 홀더.
  15. 제1항에 있어서, 상기 분리용 홈의 적어도 일부는 상기 가열 부재에 배치되는 것인 기판 홀더.
  16. 제15항에 있어서, 상기 분리용 홈의 적어도 일부는 상기 냉각 부재에 배치되는 것인 기판 홀더.
  17. 제1항에 있어서, 상기 하나 이상의 분리용 홈은 복수 개로 이루어지는 것인 기판 홀더.
  18. 제17항에 있어서, 상기 복수 개의 분리용 홈은 동심을 이루는 것인 기판 홀더.
  19. 제1항에 있어서, 상기 하나 이상의 분리용 홈은, 상기 가열 부재와 상기 냉각 부재 사이에서 상기 유체 간극의 대향측들에 배치되는 제1 및 제2 분리용 홈을 포함하는 것인 기판 홀더.
  20. 제18항에 있어서, 상기 분리용 홈은 상기 가열 부재와 상기 냉각 부재 중 하나 이상에 배치되는 것인 기판 홀더.
  21. 제19항에 있어서, 상기 가열 부재와 상기 냉각 부재는 알루미늄 합금을 포함하는 것인 기판 홀더.
  22. 제19항에 있어서, 상기 가열 부재와 상기 냉각 부재는 동일한 알루미늄 합금을 포함하는 것인 기판 홀더.
  23. 제21항에 있어서, 상기 가열 부재는 본체부와 내장 히터를 포함하며, 이 내장 히터는 상기 본체부 내에 캐스팅되는 것인 기판 홀더.
  24. 제22항에 있어서, 상기 냉각 부재는 상부 캡과 하부 캡을 포함하며, 상기 가열 부재는 상기 지지면과 상기 상부 캡 사이에 배치되는 것인 기판 홀더.
  25. 제24항에 있어서, 상기 상부 캡은 상기 가열 부재와 동일한 알루미늄 합금을 포함하는 것인 기판 홀더.
  26. 기판을 지지하는 기판 홀더로서,
    지지면과,
    냉각 부재와,
    상기 지지면에 인접하여 상기 지지면과 상기 냉각 부재 사이에 배치되는 가열 부재와,
    상기 냉각 부재와 상기 가열 부재 사이에 배치되어, 상기 냉각 부재와 상기 가열 부재 사이의 열 전도성을 변화시키기 위해 유체를 수용하도록 구성된 유체 간극과,
    상기 냉각 부재와 상기 가열 부재 사이에서 상기 유체 간극에 인접하여 배치되는 브레이징 재료와,
    상기 브레이징 재료가 상기 유체 간극의 안으로 흐르는 것을 방지하는 유동 방지 수단을 포함하고,
    상기 유동 방지 수단은 상기 냉각 부재와 상기 가열 부재 사이에 배치되는 하나 이상의 분리용 홈인 것인 기판 홀더.
  27. 삭제
  28. 제26항에 있어서, 상기 하나 이상의 분리용 홈은 상기 가열 부재와 상기 냉각 부재 중 하나 이상에 배치되는 것인 기판 홀더.
  29. 제28항에 있어서, 상기 하나 이상의 분리용 홈의 적어도 일부는 상기 냉각 부재에 배치되는 것인 기판 홀더.
  30. 제28항에 있어서, 상기 하나 이상의 분리용 홈의 적어도 일부는 상기 가열 부재에 배치되는 것인 기판 홀더.
  31. 제30항에 있어서, 상기 하나 이상의 분리용 홈의 적어도 일부는 상기 냉각 부재에 배치되는 것인 기판 홀더.
  32. 가열 부재 및 냉각 부재를 포함하는 기판 홀더를 제조하는 방법으로서,
    상기 가열 부재와 상기 냉각 부재 사이의 열전도성을 변화시키기 위해 유체를 수용하도록 구성된 유체 간극을 마련하는 단계와,
    상기 냉각 부재와 상기 가열 부재 사이에서 상기 유체 간극에 인접하여 브레이징 재료를 삽입하는 단계와,
    상기 가열 부재와 상기 냉각 부재 사이에 상기 유체 간극 안으로 상기 브레이징 재료가 흐르는 것을 방지하는 홈을 배치하는 단계
    를 포함하는 기판 홀더 제조 방법.
  33. 제32항에 있어서, 기판 홀더를 형성하도록 상기 브레이징 재료를 사용하여 상기 가열 부재와 상기 냉각 부재를 브레이징하는 단계를 더 포함하는 기판 홀더 제조 방법.
  34. 삭제
  35. 제32항에 있어서, 상기 가열 부재를 형성하도록 그 본체부 내에 내장 히터를 캐스팅하는 단계를 더 포함하는 기판 홀더 제조 방법.
  36. 제35항에 있어서, 상기 본체부는 알루미늄 합금을 포함하며, 이 알루미늄 합금 내에 상기 내장 히터가 캐스팅되는 것인 기판 홀더 제조 방법.
  37. 제32항에 있어서, 상기 냉각 부재를 형성하도록 상부 캡과 하부 캡을 연결하는 단계를 더 포함하는 기판 홀더 제조 방법.
  38. 제37항에 있어서, 상기 상부 캡은 냉각 유체를 수용하도록 구성된 복수 개의 채널을 포함하는 것인 기판 홀더 제조 방법.
  39. 제37항에 있어서, 상기 하부 캡은 평탄한 상부면이 상기 상부 캡에 인접해 있는 플레이트를 포함하는 것인 기판 홀더 제조 방법.
  40. 제39항에 있어서, 상기 상부 캡을 상기 하부 캡에 브레이징하는 단계를 더 포함하는 기판 홀더 제조 방법.
  41. 제32항에 있어서, 지지면을 상기 가열 부재에 기계적으로 연결하는 단계를 더 포함하는 기판 홀더 제조 방법.
  42. 제41항에 있어서, 상기 기계적 연결은 접착제를 포함하는 것인 기판 홀더 제조 방법.
  43. 제32항에 있어서, 상기 가열 부재와 상기 냉각 부재는 알루미늄 합금을 포함하는 것인 기판 홀더 제조 방법.
  44. 제32항에 있어서, 상기 가열 부재와 상기 냉각 부재는 동일한 알루미늄을 포함하는 것인 기판 홀더 제조 방법.
  45. 기판을 지지하는 기판 홀더로서,
    지지면과,
    상기 지지면을 냉각시키는 냉각 수단과,
    상기 지지면에 인접하여 상기 지지면과 상기 냉각 수단 사이에 배치되어 상기 지지면을 가열하는 가열 수단과,
    상기 가열 수단과 상기 냉각 수단 사이에 배치되어, 상기 가열 수단과 상기 냉각 수단 사이의 열 전도성을 변화시키기 위해 유체를 수용하는 유체 수용 수단과,
    상기 냉각 수단과 상기 가열 수단을 연결하는 연결 수단과,
    상기 냉각 수단과 상기 가열 수단 사이에 배치되어, 상기 유체 수용 수단 안으로 상기 연결 수단이 흐르는 것을 방지하도록 구성된 하나 이상의 분리용 홈
    을 포함하는 기판 홀더.
  46. 제45항에 있어서, 상기 가열 수단은, 고온의 플루오로화 유전성 액체(fluorinated dielectric liquid), 물 및 증기 중 하나 이상이 흐르도록 구성된 채널과 열전 소자 중 하나 이상을 포함하는 것인 기판 홀더.
  47. 제45항에 있어서, 상기 냉각 수단은 하나 이상의 열전 소자를 포함하는 것인 기판 홀더.
KR1020067009950A 2004-01-30 2004-12-23 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법 KR101118863B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US54051904P 2004-01-30 2004-01-30
US60/540,519 2004-01-30
PCT/US2004/039780 WO2005074450A2 (en) 2004-01-30 2004-12-23 Substrate holder having a fluid gap and method of fabricating the substrate holder

Publications (2)

Publication Number Publication Date
KR20060127387A KR20060127387A (ko) 2006-12-12
KR101118863B1 true KR101118863B1 (ko) 2012-03-19

Family

ID=34837391

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067009950A KR101118863B1 (ko) 2004-01-30 2004-12-23 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법

Country Status (6)

Country Link
US (1) US8007591B2 (ko)
JP (1) JP4833859B2 (ko)
KR (1) KR101118863B1 (ko)
CN (1) CN100452306C (ko)
TW (1) TWI257648B (ko)
WO (1) WO2005074450A2 (ko)

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100370592C (zh) * 2005-12-08 2008-02-20 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘
US8760621B2 (en) * 2007-03-12 2014-06-24 Asml Netherlands B.V. Lithographic apparatus and method
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
EP2342951B1 (en) * 2008-10-31 2019-03-06 Lam Research Corporation Lower electrode assembly of plasma processing chamber
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110047798A1 (en) * 2009-08-31 2011-03-03 Peter Francis Carcia Method for making a film cassette for gaseous vapor deposition
US8534591B2 (en) * 2009-08-31 2013-09-17 E I Du Pont De Nemours And Company Apparatus and method for loading a film cassette for gaseous vapor deposition
US8551249B2 (en) * 2009-08-31 2013-10-08 E I Du Pont De Nemours And Company Film cassette for gaseous vapor deposition
US8524003B2 (en) * 2009-08-31 2013-09-03 E I Du Pont De Nemours And Company Loaded film cassette for gaseous vapor deposition
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN105551926B (zh) * 2015-12-11 2017-10-03 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11375320B2 (en) * 2018-08-30 2022-06-28 Purdue Research Foundation Thermoacoustic device and method of making the same
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20220002866A1 (en) * 2018-11-28 2022-01-06 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP2022515489A (ja) * 2018-12-27 2022-02-18 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置内の粒子をその場で除去するための装置および方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11110053A (ja) * 1997-09-30 1999-04-23 Smc Corp 基板の温度調整装置
JPH11265931A (ja) * 1997-10-30 1999-09-28 Tokyo Electron Ltd 真空処理装置
KR20010110737A (ko) * 1999-04-12 2001-12-13 라뽀르뜨 프랑크 기판의 열처리를 위한 반응기에서의 통합된 가열 및 냉각장치

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3909917A (en) * 1973-08-09 1975-10-07 Viktor Fedorovich Lebedev Method of brazing refractory metals and compounds based thereon
JPS58182818A (ja) 1982-04-21 1983-10-25 Kokusai Electric Co Ltd 気相成長装置
JPH02263789A (ja) 1989-03-31 1990-10-26 Kanagawa Pref Gov ダイヤモンド単結晶膜を有するシリコン基板とその製造方法
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
JP3238427B2 (ja) 1991-07-25 2001-12-17 東京エレクトロン株式会社 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
US5323292A (en) * 1992-10-06 1994-06-21 Hewlett-Packard Company Integrated multi-chip module having a conformal chip/heat exchanger interface
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
JPH09172057A (ja) * 1995-12-20 1997-06-30 Souzou Kagaku:Kk 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JPH10256359A (ja) * 1997-03-08 1998-09-25 Seiichiro Miyata 静電チャック
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP3911787B2 (ja) 1996-09-19 2007-05-09 株式会社日立製作所 試料処理装置及び試料処理方法
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP2001110883A (ja) 1999-09-29 2001-04-20 Applied Materials Inc 基板支持装置及びその伝熱方法
JP2001110885A (ja) 1999-10-14 2001-04-20 Hitachi Ltd 半導体処理装置および半導体処理方法
JP2001347480A (ja) * 2000-06-07 2001-12-18 Toto Ltd 静電チャックユニット
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6508062B2 (en) * 2001-01-31 2003-01-21 Applied Materials, Inc. Thermal exchanger for a wafer chuck
JP3973853B2 (ja) * 2001-03-28 2007-09-12 大日本スクリーン製造株式会社 熱処理装置
JP4945031B2 (ja) 2001-05-02 2012-06-06 アプライド マテリアルズ インコーポレイテッド 基板加熱装置および半導体製造装置
JP2002327275A (ja) * 2001-05-02 2002-11-15 Tokyo Electron Ltd 真空処理方法及び真空処理装置
JP2003179040A (ja) 2001-12-10 2003-06-27 Tokyo Electron Ltd 熱処理装置
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP2003282685A (ja) 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP3971296B2 (ja) * 2002-12-27 2007-09-05 Dowaホールディングス株式会社 金属−セラミックス接合基板およびその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11110053A (ja) * 1997-09-30 1999-04-23 Smc Corp 基板の温度調整装置
JPH11265931A (ja) * 1997-10-30 1999-09-28 Tokyo Electron Ltd 真空処理装置
KR20010110737A (ko) * 1999-04-12 2001-12-13 라뽀르뜨 프랑크 기판의 열처리를 위한 반응기에서의 통합된 가열 및 냉각장치

Also Published As

Publication number Publication date
WO2005074450A3 (en) 2006-02-16
KR20060127387A (ko) 2006-12-12
TWI257648B (en) 2006-07-01
US8007591B2 (en) 2011-08-30
CN100452306C (zh) 2009-01-14
US20070224777A1 (en) 2007-09-27
CN1890783A (zh) 2007-01-03
TW200534349A (en) 2005-10-16
JP2007525833A (ja) 2007-09-06
WO2005074450A2 (en) 2005-08-18
JP4833859B2 (ja) 2011-12-07

Similar Documents

Publication Publication Date Title
KR101118863B1 (ko) 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP6644051B2 (ja) 高温処理用静電チャックアセンブリ
KR101582785B1 (ko) 정전 척 조립체
TWI721952B (zh) 具有獨立隔離的加熱器區域的晶圓載體
TWI404163B (zh) 用於改良式半導體處理均勻性之熱傳導系統、用於處理一基板之電漿處理系統以及在一處理腔室中處理一基板之方法
US7141763B2 (en) Method and apparatus for rapid temperature change and control
US20060076109A1 (en) Method and apparatus for controlling temperature of a substrate
US10770329B2 (en) Gas flow for condensation reduction with a substrate processing chuck
JP2000505152A (ja) 真空処理装置のための熱伝導性チャック
JP2001160479A (ja) セラミックスヒーターおよびそれを用いた基板処理装置
KR20100138580A (ko) 커플링 링에 냉온수단을 구비하여 웨이퍼의 최외곽 온도를 식각될 물질막과 사용될 가스의 선택에 따라 올리거나 내리는 플라즈마 건식 식각 장치
JP4782682B2 (ja) 連絡空間を用いた効率的な温度制御のための方法と装置
US20090277388A1 (en) Heater with detachable shaft

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 9