TWI257648B - Substrate holder having a fluid gap and method of fabricating the substrate holder - Google Patents

Substrate holder having a fluid gap and method of fabricating the substrate holder Download PDF

Info

Publication number
TWI257648B
TWI257648B TW094102318A TW94102318A TWI257648B TW I257648 B TWI257648 B TW I257648B TW 094102318 A TW094102318 A TW 094102318A TW 94102318 A TW94102318 A TW 94102318A TW I257648 B TWI257648 B TW I257648B
Authority
TW
Taiwan
Prior art keywords
substrate
cooling
heating
support
substrate holder
Prior art date
Application number
TW094102318A
Other languages
English (en)
Other versions
TW200534349A (en
Inventor
Thomas Hamelin
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200534349A publication Critical patent/TW200534349A/zh
Application granted granted Critical
Publication of TWI257648B publication Critical patent/TWI257648B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1257648 九、發明說明: 【發明所屬之技術領域】 本發明一般關於半導體處理系統,尤有關於一種具有流體間 隙之基板支座及其製造方法。 【先前技術】
許多製程(例如:化學上的、電漿電感的、蝕刻及沈積的)均 與基板(亦稱為晶圓)的瞬間溫度息息相關。因此,控制基板溫度 的月匕力便成了半導體處理糸統的一個必要的特性。此外,為快速 應用(在某些重要案例中為週期性地)在同一真空室内需要不同條 件=各式製程,即需要迅速改變及控制基板溫度的能力。控制基 板溫度=方法之一是藉由加熱或冷卻基板支座(亦稱作夾具)來達 成。之前冒有數種快速完成加熱或冷卻基板溫度之方法被提出及 應用’但於現存的方法中,並沒有任何能提供足夠迅速的控以滿 足工業上日益增長之需求的方法。 例如·、令流體流經夾具上的渠道為現存系統中冷卻基板的方 /之。然而,该流體溫度係藉由一冷卻器來控制,部分因為雜 寸ΐ小之故,冷卻器經常被放置在—遠離夾具組件之處。 =冷心體不可忽視_積及加熱和冷卻上的f力限制,冷卻 能力亦受到限制。此外,夾具會有-段額外的 =他二 == 的/皿度,但其冷卻則仍然有賴於由冷卻器來控 = 大:須f以限制’ “ΐ與:加熱 素均對於基板溫度變化之絲速度加上了了加丨^速率。凡此種種因 5 1257648 此外’要製造基板支座,則內嵌之加熱器及冷卻流體渠道組 件須彼此黏合,俾使其可達到令人滿意之結合強度;然而,該黏 合方式不應阻礙基板支座溫度之快速變化能力。 【發明内容】 本發明之一目的在於解決或減缓基板處理裝置技藝中之上述 和/或其他問題; 鲁 本發明之此等和/或其他目的,可藉由一用於支撐基板的基板 支座來提供,該基板可包含一阯鄰於一支撐面且置於該#擋而溆
、本發明之另一目的在於提供一種基板支座,該基板支座具有 以可k供令人滿意之強度之方式來彼此黏合,但卻不致妨礙基板 支座溫度之快速變化能力之加熱器及冷卻渠道組件。 【實施方式】 茲參照圖示,在所有數個圖示中,
相同的參照數字表示相同 ’可用於例如化學和/或電 10、一具有一支撐面22 1257648 之基板支座20、及由一基板支座20所支撐的基板3〇。此處理系 統1亦包括一在處理室1〇中用於提供減壓環境之幫浦系統4〇; 一 内嵌電子加熱構件50,其由魏130提供能源;及—_冷卻構 件60,其上具有使液體流動之渠道,其中該液體流動係由冷卻渠 道組件120來控制。於加熱構件5〇及冷卻構件6〇間設置有一流 體間隙9G。兹設置有_流體供應單元14{),崎過導管⑽供靡並 從流體_ 9G移除顏92,而促進基板支座2Q之加熱及冷g。 作為-非限定性之範例,該流體可為氦氣(He),或是任何其他能 夠迅速並顯著地增加或減少橫越流咖隙⑽之熱傳導的流體。 圖^及圖3顯示了更多與基板3〇相關之基板支座2〇的細節。 如圖所示,氦氣背侧流80是由-氦氣供應源(未顯示)提供,其係 用以挺升基板支座20與基板30之間的熱傳導。此提升後之熱傳 導確保了直接_於加熱構件5G之支撐面22的迅速溫控可致使 =30亦達到迅速溫控。支禮面22上之溝槽係驗晶圓背侧氣 體为配。用於背概之典型氣體為氦及氬(Ar),但亦可使用豆他 氣體。基板支座20可包括-靜電夾持電極(未顯示)、及一對^的 供:器與提供基板3〇與基板支座20間之靜電夾持所需 如圖2及圖3所示,加熱構件50可具有一主體部52,其適合 在加熱構件50及冷卻構件60之操作期間,傳熱至基板支座2〇並 =來自基板支座2G之熱傳出’繼而傳熱至基板%並將來自基板 3〇之^傳出。此主體部52可聽合金打造且可以加工或禱造來形 成。於本發明之-較佳實施射,域部52是由雜合金製成的。 j部52可包括-内嵌加熱器54。此内嵌加熱器54可包括 一或更多加熱器區域’其可獨立受能以加熱主體部52之區段,並 ,熱量傳輸至基板支座20及基板30。於本發明之—較佳實施例 中,主體部52包括了兩獨立受能並鑄入加熱構件5〇主體部52中 iit器^ΐΓ加歷50為―紐酬52及内嵌加 7 1257648 一包括主體部52及内喪加熱器54之較佳加熱構件50的實施 例是可購自位於密蘇里州聖路易市的Watl〇w電子製造公司 (Watlow Electric Manufacturing Co·)的一種平板加熱器,其具 有直徑約〇· 4刪之英高鎳(inconei)合金外管、及一以氧化锃 而與外管絕狀祕合錢材域ϋ。 如圖2及圖3所示,冷卻構件6〇可包括一上蓋62及一下蓋 6曰4,其適合於冷卻構件6〇操作期間,傳輸來自基板支座2〇之熱 量’接著傳輸來自基板30之熱量。 、
、、上蓋62可包括複數個渠道66,該渠道66係被安排來容納由 冷卻渠道組件120控制的液體流,藉此使冷卻構件6〇降溫,繼而 使基板支座20及基板30降溫。上蓋β2可由鋁合金打造,且可利 =加工或鑄造來形成。於本發明之一較佳實施例中,上蓋62是由 鑄鋁合金打造,且更佳的是,其與加熱構件5〇主體部52使用 同的禱銘合金。 上罢ΐ卻構之下蓋64可包括—平坦表面,該平坦表面係以 二、2之渠严66來界定一封閉體積,以防止液體自冷卻構件60 於太,。下蓋64可用銘合金打造,和/或以鑄造來形成。因此, 明之-較佳實施例中,下蓋64是由鑄鋁合金打造,且 ^:其與冷卻構件6G之上蓋62使用相同的鑄齡金,又再更 土的=’其與加熱構件5〇之主體部52亦使用相同的禱銘合金。 卻構ίΐίΐ附的是包含完全設置於上蓋62中之渠道66之冷 上蓋或下i ’但鑛的是,渠道66可設置於 -内ίΚίΓΙΤΐ⑺流體間隙9G可以妓在冷卻構件6〇之 的方式,使其強度改變約兩個等級(order)或以上。 制 8 1257648 流體_ 9G之細節於申請人之共同提出申請 揭^67内號案號237539US6YA)中有探討,兹Ξ其全ί ^^=^參4,於此共同提出中請之_中^明 i-L中包含—第—區域咖以及一第二區域94b, ί:4=:ΪΪ内溝槽及内進出口(未顯示)所形成,而第二區 L内溝样支配在夕ί出口(未顯示)所形成。在此双區域系統 溝槽支配在基板支座2〇之第一區域_中之壓力、 而。外溝槽則支配在基板支座2G之第二區域“中的 ^二條件由。早區域系統或㈣域系統中之任何溝槽皆可完全或 50之:)、、f Γ 冷部構件60中,尤其是在加熱構件 之主體α卩52以及冷卻構件β〇之上蓋62中。 絲將基板支座20之構件間之連結情形的細節討論如下。 應明瞭的是:圖1至3所顯示之系統是僅具示範性的,其中 ^其他元件。例如:處理祕1亦可包括—射頻電源供應 :$頻電源饋送、用以放置及移除晶圓之栓銷、熱感測器、 其他在此技藝中所熟知的元件。處理系統1亦可包括進入 ί空室1G之處理氣體線路、及用以將真空室10之氣體激發成電 電容搞合型系統之)第二電極或電感(電感_禺合型系統之)射 頻線圈。本發明亦可用於非賴處理,如晶圓之快速熱處理。 本發明之各種實施例可操作如下。於加熱階段中,電力將被 供應至加熱構件50,同時,流體92自流體間隙90被排放至一約 =Torr的低壓,如此大幅降低了橫越流體間隙9〇之熱傳導,俾使 ,體間隙9G可作為-熱屏障。換言之,排放步驟有效地分隔基板 支,20緊鄰冷卻構件6〇的部份與基板支座2〇緊鄰加熱構件5〇 的。卩伤’如此’加熱構件5〇所需加爇之基板支座2〇之主要部份 即有效地減少至只剩加熱構件50正上方且緊鄰加熱構件50之基 板支座20之部份而已,因而容許支撐面22及晶圓3〇得到迅速的 加熱。之另一種替代使用加熱構件5〇之方式,可由一外部熱通量 9 1257648 提供=,:如得自於真空室10中所產生之電襞的熱通量。 流體“ ^階加熱構件5G將處於關閉狀態,流體犯係自 啟早&⑽被供應至流_隙9G巾,而冷卻構件60則被 滿产rtf,90在較紐力(例如域壓(76GTQrr))下填 流體間隙9G之熱傳導將大為增加,從而可 構件來提供支撐面22以及晶圓30的迅速冷卻。加熱 =二,2及冷卻構件6〇之上蓋62間之^二 體92自流體間隙90流溢出去。 j 本^之各種實施例可如下組裝、製作或上 渠道66形成在冷卻構件60之上蓋62或者下开蓋成64 ^ 道66。以適當材質形成之上芸62及下甚=目ϋ:,猎此關閉渠 片型式的銅焊材料82,及藉由加敎冷卻 溶點但低於上蓋62和/或下蓋64熔 :iU可彼此,在一起。因此,於本發明之-較佳實施 例中,,、匕3由相同鑄鋁合金所形成的上蓋肋及下 ; 62可被銅焊至下蓋64以形成冷卻構件6〇。 上盍 加熱構件50之主體部52可與内嵌加熱器54 形成加熱構件50。 措此 加熱構件50及冷賴件6G可互鱗結,尤狀加 之主體部52及冷卻構件60之上蓋62。如同上文發明說^月― 所述丄加熱構件50及冷卻構件6〇應彼此黏合,俾使同時=a 人滿思之強度及熱傳導性。依本發明之一實施例,以適當 成之加熱構件50之主體部52及冷卻構件6〇之上蓋似 驻^ 在加熱構件50及冷卻構件6G兩表關加人或 二 材料84而將之彼此銅焊在一起。加熱構件5〇 -高於解㈣84之雜但储加絲件5G和 其中之-或兩者的熔關溫度下被加熱。因此,於本發 佳實施例中’其包含以相同鑄銘合金所形成的主體部 10 1257648 g 60主焊至上蓋62以連接加熱構件5G及冷卻構 千⑽猎此开>成基板支座2〇的至少一部份。 然而,本案發明人曾指出:加熱構件50及 可,;銅焊材料84流入主體部52與上蓋62之間二3 的俱因除了被用於填充及排放流體92的流體間隙90 ‘提供 可使銅焊材料84流入_間隙9〇 一事能減少或不發生: ^主體部52和/或上蓋62設置溝槽7G。溝槽7()之尺= ^/、或位向皆可估算以防止銅焊材料84流過溝槽。溝槽7 f用 同:圓型式,且可形成於加熱構件5〇及冷卻構件6〇 A中之 體部52和/或上蓋62);亦可使用多
支撐面22可與域部52連結。於本發明之—難f 支撐面22可藉_純_為基礎之黏合_顧性地 體部52。該黏合劑必須容許黏合部份之差異性熱膨脹。 經銅焊後之基板支座20可藉由例如退火、硬電鍍、明 化纪陶竟喷塗等來加以處理,以提供所需之機械性f、硬产’ 電漿性、或其他加熱構件50及冷卻構件60所需之性質,=括一 個或更多基板支座20之構件於銅焊期間將妥協的性質'( 火以減輕殘餘應力)。 、ν Η ·退
本發明可有效地應用於各式以有效溫控或迅速溫控為要的 統。此等/系統包括用及電漿處理、非電漿處理、化學處理、蝕刻、 沈積、薄膜形成或灰化的系統,但不以此為限。本發明亦可^用 於一非以半導體晶圓為標的物的電漿處理設備,如LCD破璃美板 或類似的裝置。此外,應明瞭的是:本發明亦可施行於一些供 選擇之實施例中,其中加熱構件50包括一或更多熱電(珀^帖了 Peltier)裝置,或一或更多類似於冷卻渠道66之渠道,升高溫度 之氟化介電液體、水、或蒸汽將流經該渠道。 X 熟悉此項技術之人士應暸解:在不脫離本發明之精神或必要 特徵下,本發明可以使用其他特定形式實施之。因此,當前揭示 ^257648 。本發明之範圍 ’所有與申請專 ,貫知例在各方面應視其為舉例性而非限制性者 ^由隨附之申請專· _非由上述說明所指示 判乾圍意義相等之變化均應包含於本發明之中。 【圖式簡單說明】 aft於說财且構成卿書之—部分_®,_了本發明 ^佳貫施例,祕®稍上述—般說明及τ方較佳實施例的詳 、、'田说明,一起用於解釋本發明之原理。 圖1是依本發明一示範實施例之半導體處理設備的示意圖。 圖2疋圖1之基板支座的一橫剖面圖。 圖3是圖2之基板支座之一部份的詳圖。 【主要元件符號說明】 1〜處理系統 10〜真空處理室 20〜基板支座 22〜支撐面 30〜基板 40〜幫浦系統 50〜加熱構件 52〜主體部 54〜内嵌加熱器 60〜冷卻構件 62〜上蓋 64〜下蓋 66〜渠道 70〜溝槽 82〜銅焊材料 84〜銅焊材料 1257648 90〜流體間隙 92〜流體 94a〜第一區 94b〜第二區 98〜導管 120〜冷卻渠道組件 130〜電源供應 140〜流體供應單元
13

Claims (1)

1257648 十、申請專利範圍: 1· 一種基板支座,用以支撐基板,包括: 一支撐面; 一冷卻構件; 咖Ίί熱構件,設置於轉於該域面且在該支撐面及該冷卻 構件之間; 隙,設置於該冷卻構件賊加熱構狀間,此流體 m接受—流體’以改變該冷卻構件及該加熱構件之間的 熟得等,以及 兮轉材料’配置於该冷卻構件及該加熱構件之間且p比鄰於 该流體間P永。 ^如申口月專利範圍第1項之基板支座,其中該加敎構件包括一主 體部及一配置在該主體部中的内嵌加熱器。 Μ :·如申,專利範圍f 2項之基板支座,其中該主體部由一紹合金 、、且成,且該内嵌加熱器係鑄於該銘合金中。 “ 利乾圍第1項之基板支座,其中該冷卻構件包括一上 5. 如申請專利範圍第4項之練支座,其中 於接受冷卻流體義道。 I上紅括稷數細 6. 如申請專利範圍第5項之基板支座,並中兮 坦上表面且設置於_該上蓋的平板。4括—,、有平 7. 如申請專利範圍第6項之基板支座,更包括: δ λ二置^於該上蓋及該下蓋之間的第二鱗材料。 8. 如申請專利範圍第1項之基板支座,更包括. ο Λΐϊϊίί面及該加熱構件之間的機械性連接物。 括-黏合劑。 ⑬叉压其中,该機械性連接物包 10.如申請專利範圍第1項之基板支座, 在该加熱構件與該冷卻構件至少射之—中的至^ 14 1257648 槽。 圍第10項之基板支座’其中該流_溝槽配 以=Γ°項之基板支座’其中,該流體間_ 13. 1申I請專利範圍$ 1項之基板支座’更包括: Γ逆;=====構件之間’該 部份酉乂項之基板支座,其中該隔離溝槽至少-15·如申請專利範圍第13項之基 部份配置在該加熱構件中。败从-中孤離溝槽至少- 16·如申請專利範圍第15項之基板支座,i 部份配置在該冷卻構件中。 ,、中^隔離溝槽至少一 含複數個第13項之基板支座,其巾至少—隔離溝槽包 利範圍第17項之基板支座,其中該複數個隔離溝槽 19· t申請專利範圍第1項之基板支座,更包括: 材料流入該流體_巾。 轉職祕防止_焊 20·如申請專利範圍第18項之基板支座, 在該加熱構件及該冷卻構件至少一者中。τ /一加離溝槽配置 21·如申凊專利範圍们9項之基板支座,其中該加執 卻構件包含齡金。 μ 〃 ^加_件及該冷 22·如申請專利範圍第19項之基板支座,苴中 卻構件包含一相同的鋁合金。 ’、μ ”、、構件及該冷 23.如申請專利範圍第-,* ο. 阁乐u狀基板支座’其巾該加熱構件包括一 1257648 ,體。P及内嵌力卩熱③’該内嵌力卩熱器被鑄入該主 體部中 ,利制第22項之基板支座,射該^卩構 盍及-下蓋,該加熱構件置放在該域面及該上 I 專利範圍第24項之基板支座,其中該上蓋包曰1一同於 该加熱構件之鋁合金~ ^ 3 1 26· —種基板支座,用以支撐基板,包括: 一支撐面; 设置於轉該战面錄賊讀面與該冷卻 一冷卻構件; 一加熱; 構件之間; 間_^^=’、=_冷卻構倾該加熱獅1,該流體 接收l以改變該冷卻構件及該加熱構件之間的 一銅焊材料,配置於該冷卻構件與該加執 於該流體間隙,·以及 …、偁仵之間,且_ 用以防止該銅焊材料流入接觸區的裝置。 2包7括專利範圍第26項之基板支座,其中該防止流入之裝置 配置在該加 1ΐ:;:=8項之基板支座,其中該溝槽至少-部份 i〇配項之基板支座’其中該溝槽至少一部份 31. 如申請專利範圍帛30項之基板支座,其中 份被配置在該冷卻構件中。 ~ / 霉僧至乂一^ 32. -種基板支座的製造方法,該基 冷卻構件,該方法包括: &匕3加熱構件及— 設置一流體間隙,其用於接收—流體,以改變該加熱構件及 16 1257648 該冷卻構件間的熱傳導;以及 在該加熱構件與該冷卻構件之間插入一阯鄰於該流體間隙之 銅焊材料。 33·如申請專利範圍第32項之基板支座的製造方法,更包括: 以 利用該銅焊材料來對該加熱構件及該冷卻構件進行鋼ρ, 形成該基板支座。 34.如申請專利範圍第33項之基板支座的製造方法,更包括· 4
於該加熱構件及該冷卻構件間設置一溝槽,該溝槽係 ^ 銅焊材料流入該流體間隙中。 ㈢,、万止該 35·如申請專利範圍第32項之基板支座的製造方法,更包括· 將一内嵌加熱器鑄入一主體部中以形成該加熱構件。· 36.如申請專利範圍第35項之基板支座的製造方法,其中該 部包含一鋁合金,且該内嵌加熱器係鑄於該鋁合金中。 Λ體 37·如申請專利範圍第32項之基板支座的製造方法,更包括· 聯結一上盖及一下蓋以形成該冷卻構件。
38二如申請專利範圍第37項之基板支座的製造方法,其中 括複數個用於接收冷卻流體的渠道。 ”盍包 39·如申請專利範圍第37項之基板支座的製造方法,其中註 包括一具有平坦上表面且與該上蓋Ρ比鄰設置的平板。 Λ盍 40.如申請專利範圍第39項之基板支座的製造方法, 將該上蓋銅焊至該下蓋。 · ’更包括: ,其中該機械 ’其中該加熱 ’其中,該加 41·如申請專利範圍第32項之基板支座的製造方法 機械性地將一支撐面連接至該加熱構件。 42·如申請專利範圍第41項之基板支座的製造方法 性連接物包括一黏合劑。 43·如申請專利範圍第32項之基板支座的製造方法 構件及该冷卻構件包含一銘合金。 44·如申請專利範圍第32項之基板支座的製造方法 熱構件及該冷卻構件包含一同樣之鋁合金。 17 1257648 45. -種基板支座,用以支撐基板,包括·_ 一支撐面; 冷卻該支撐面之裝置; 加熱該支撐面之裝置,其位置為轉 支撐面及該冷卻裝置之間; 、Μ支撐面,且介於該 用於接受一流體之裝置,以改變該〉人 ,專導,該接受-流體之請皮放;於==喷裝置之 裝置之間;以及, 、忒冷部裝置及該加熱 聯結該冷卻裝置及該加熱裝置之裝置。 =·如申請專利範圍第45項之基板支座,复 熱電裝置及一渠道至少其中之一,誃泪7中忒加熱裝置包括一 化介電液體、水、或航以其巾之帛以使升高溫度之氟 47.如申請專利範圍第45項之基板支座瓜,复 至夕—熱電裝置。 ^中,6亥冷卻骏置包括 十 囷式 18
TW094102318A 2004-01-30 2005-01-26 Substrate holder having a fluid gap and method of fabricating the substrate holder TWI257648B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US54051904P 2004-01-30 2004-01-30

Publications (2)

Publication Number Publication Date
TW200534349A TW200534349A (en) 2005-10-16
TWI257648B true TWI257648B (en) 2006-07-01

Family

ID=34837391

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094102318A TWI257648B (en) 2004-01-30 2005-01-26 Substrate holder having a fluid gap and method of fabricating the substrate holder

Country Status (6)

Country Link
US (1) US8007591B2 (zh)
JP (1) JP4833859B2 (zh)
KR (1) KR101118863B1 (zh)
CN (1) CN100452306C (zh)
TW (1) TWI257648B (zh)
WO (1) WO2005074450A2 (zh)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100370592C (zh) * 2005-12-08 2008-02-20 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘
US8760621B2 (en) * 2007-03-12 2014-06-24 Asml Netherlands B.V. Lithographic apparatus and method
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP5743895B2 (ja) 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8524003B2 (en) * 2009-08-31 2013-09-03 E I Du Pont De Nemours And Company Loaded film cassette for gaseous vapor deposition
US8534591B2 (en) * 2009-08-31 2013-09-17 E I Du Pont De Nemours And Company Apparatus and method for loading a film cassette for gaseous vapor deposition
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
US8551249B2 (en) * 2009-08-31 2013-10-08 E I Du Pont De Nemours And Company Film cassette for gaseous vapor deposition
US20110047798A1 (en) * 2009-08-31 2011-03-03 Peter Francis Carcia Method for making a film cassette for gaseous vapor deposition
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN105551926B (zh) * 2015-12-11 2017-10-03 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11375320B2 (en) * 2018-08-30 2022-06-28 Purdue Research Foundation Thermoacoustic device and method of making the same
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20220002866A1 (en) * 2018-11-28 2022-01-06 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210102913A (ko) * 2018-12-27 2021-08-20 에이에스엠엘 홀딩 엔.브이. 리소그래피 장치에서의 인시튜 입자 제거 장치 및 방법
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3909917A (en) * 1973-08-09 1975-10-07 Viktor Fedorovich Lebedev Method of brazing refractory metals and compounds based thereon
JPS58182818A (ja) 1982-04-21 1983-10-25 Kokusai Electric Co Ltd 気相成長装置
JPH02263789A (ja) 1989-03-31 1990-10-26 Kanagawa Pref Gov ダイヤモンド単結晶膜を有するシリコン基板とその製造方法
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
JP3238427B2 (ja) 1991-07-25 2001-12-17 東京エレクトロン株式会社 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
US5323292A (en) * 1992-10-06 1994-06-21 Hewlett-Packard Company Integrated multi-chip module having a conformal chip/heat exchanger interface
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
JPH09172057A (ja) 1995-12-20 1997-06-30 Souzou Kagaku:Kk 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JPH10256359A (ja) 1997-03-08 1998-09-25 Seiichiro Miyata 静電チャック
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
JP3911787B2 (ja) 1996-09-19 2007-05-09 株式会社日立製作所 試料処理装置及び試料処理方法
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP2954908B2 (ja) 1997-09-30 1999-09-27 エスエムシー株式会社 基板の温度調整装置
JP4256503B2 (ja) 1997-10-30 2009-04-22 東京エレクトロン株式会社 真空処理装置
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
FR2792084A1 (fr) 1999-04-12 2000-10-13 Joint Industrial Processors For Electronics Dispositif de chauffage et de refroidissement integre dans un reacteur de traitement thermique d'un substrat
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP2001110883A (ja) 1999-09-29 2001-04-20 Applied Materials Inc 基板支持装置及びその伝熱方法
JP2001110885A (ja) 1999-10-14 2001-04-20 Hitachi Ltd 半導体処理装置および半導体処理方法
JP2001347480A (ja) 2000-06-07 2001-12-18 Toto Ltd 静電チャックユニット
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6508062B2 (en) * 2001-01-31 2003-01-21 Applied Materials, Inc. Thermal exchanger for a wafer chuck
JP3973853B2 (ja) * 2001-03-28 2007-09-12 大日本スクリーン製造株式会社 熱処理装置
JP2002327275A (ja) * 2001-05-02 2002-11-15 Tokyo Electron Ltd 真空処理方法及び真空処理装置
JP4945031B2 (ja) 2001-05-02 2012-06-06 アプライド マテリアルズ インコーポレイテッド 基板加熱装置および半導体製造装置
JP2003179040A (ja) 2001-12-10 2003-06-27 Tokyo Electron Ltd 熱処理装置
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP2003282685A (ja) 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP3971296B2 (ja) * 2002-12-27 2007-09-05 Dowaホールディングス株式会社 金属−セラミックス接合基板およびその製造方法

Also Published As

Publication number Publication date
KR20060127387A (ko) 2006-12-12
CN1890783A (zh) 2007-01-03
US8007591B2 (en) 2011-08-30
WO2005074450A3 (en) 2006-02-16
WO2005074450A2 (en) 2005-08-18
JP4833859B2 (ja) 2011-12-07
TW200534349A (en) 2005-10-16
US20070224777A1 (en) 2007-09-27
CN100452306C (zh) 2009-01-14
KR101118863B1 (ko) 2012-03-19
JP2007525833A (ja) 2007-09-06

Similar Documents

Publication Publication Date Title
TWI257648B (en) Substrate holder having a fluid gap and method of fabricating the substrate holder
TWI358460B (en) Substrate support having brazed plates and resista
JP5538612B2 (ja) 静電チャックアセンブリ
JP6322656B2 (ja) 低熱膨張係数の上部を備えたワーク受台構造
TW200924017A (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP3980187B2 (ja) 半導体保持装置、その製造方法およびその使用方法
JP5162621B2 (ja) 温度調節装置、冷却装置、及び温度調節装置の製造方法
JP2010541239A (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ用温度制御モジュール
TW200824031A (en) Detachable electrostatic chuck having sealing assembly
CN106663647A (zh) 用于高温处理的静电夹盘组件
TW201230166A (en) Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
TW201828404A (zh) 局部加熱之多區域基材支撐座
TW201240013A (en) Substrate support with heater and rapid temperature change
JP2000031253A (ja) 基板処理装置及び方法
KR101513605B1 (ko) 샤프트 부착 히터 유닛 및 샤프트 부착 히터 유닛의 제조 방법
TW200947588A (en) Processing apparatus and processing method
TWI308364B (en) Supporting structure for a heating element, insulating structure, heating device and substrate processing apparatus
WO2017026206A1 (ja) ヒータユニット
JP3288922B2 (ja) 接合体およびその製造方法
TW200906491A (en) Silicon supporting device and silicon heating rapidly cooling apparatus utilizing the same
TW201220428A (en) Support unit and substrate treating apparatus having the same
JPH11323549A (ja) 基板保持装置
JP3941542B2 (ja) セラミックスと金属の気密接合構造及び該構造を有する装置部品
JP2004071172A (ja) 加熱装置およびその製造方法並びに被膜形成装置
JP2022155221A (ja) 載置盤および載置構造

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees