CN1890783A - 具有流体间隙的衬底保持器和制造衬底保持器的方法 - Google Patents

具有流体间隙的衬底保持器和制造衬底保持器的方法 Download PDF

Info

Publication number
CN1890783A
CN1890783A CNA2004800362098A CN200480036209A CN1890783A CN 1890783 A CN1890783 A CN 1890783A CN A2004800362098 A CNA2004800362098 A CN A2004800362098A CN 200480036209 A CN200480036209 A CN 200480036209A CN 1890783 A CN1890783 A CN 1890783A
Authority
CN
China
Prior art keywords
substrate holder
cooling
heater block
fluid gap
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800362098A
Other languages
English (en)
Other versions
CN100452306C (zh
Inventor
托马斯·哈梅林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1890783A publication Critical patent/CN1890783A/zh
Application granted granted Critical
Publication of CN100452306C publication Critical patent/CN100452306C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于支撑衬底(30)的衬底保持器(20)。加热部件(50)与支撑表面相邻定位,并定位在支撑表面和冷却部件(60)之间。流体间隙定位在冷却部件和加热部件之间,流体间隙构造为接收流体以增大冷却部件和加热部件之间的热传导率。钎焊材料布置在冷却部件和加热部件之间,钎焊材料与流体间隙相邻布置。

Description

具有流体间隙的衬底保持器和制造衬底保持器的方法
技术领域
本发明一般地涉及半导体处理系统,并更具体而言,涉及具有流体间隙的衬底保持器和制造该衬底保持器的方法。
背景技术
许多处理(例如,化学的、等离子体诱导的刻蚀和沉积)主要取决于衬底(也称作晶片)的瞬时温度。因此,控制衬底温度的能力是半导体处理系统的重要特征。而且,需要在相同真空室内不同条件的各种处理的快速应用(在一些重要的情况下,周期性地)要求快速改变和控制衬底温度的能力。控制衬底温度的一种方法是通过加热或冷却衬底保持器(也称作卡盘)。此前,已经提出并应用了实现衬底保持器的更快速的加热和冷却的方法,但是现有的方法没有提供足够迅速的温度控制以满足日益增长的工业需求。
例如,通过卡盘中沟道的流动液体是一种在现有系统中用于冷却衬底的方法。但是,液体的温度由冷却器来控制,而冷却器通常位于距卡盘组件较远的位置处,其部分原因是冷却器的噪声和尺寸。由于冷却液体的相当大的体积以及对加热和冷却功率的限制,冷却器单元也在其用于迅速温度改变的能力上受到限制。而且,对于卡盘存在附加的时间延迟来达到所期望的温度设定,其主要取决于卡盘部件的尺寸和材料。这些因素限制了衬底能被加热或冷却到所期望温度的迅速程度。
还提出并应用了其他方法,包括电加热器的使用,其嵌入在衬底保持器中影响衬底的加热。嵌入式的加热器提高了衬底保持器的温度,但其冷却仍然依靠由冷却器控制的冷却液体。而且,能施加到嵌入式加热器的功率量受到限制,这是因为直接接触嵌入式加热器的卡盘材料可能被永久性损坏。衬底保持器的上表面上的温度均匀性也是重要因素并进一步限制了加热的速率。全部这些因素限制了衬底的温度改变能实现的迅速程度。
此外,为了制造衬底保持器,嵌入式加热器和冷却流体沟道组件应该彼此附装,以实现理想强度的连接。但是,附装的方法不应该不理想地干扰迅速改变衬底保持器温度的能力。
发明内容
本发明的一个目的是解决或减轻衬底处理装置领域中的上述和/或其他问题。
本发明的另一个目的是提供一种具有加热器和冷却沟道组件的衬底保持器,加热器和冷却沟道组件以提供理想强度但不会不理想地干扰快速改变衬底保持器温度的能力的方式附装到彼此。
本发明的这些和/或其他目的可以由用于支撑衬底的衬底保持器提供,该衬底保持器包括与支撑表面相邻定位并定位在支撑表面和冷却部件之间的加热部件。流体间隙可以定位在冷却部件和加热部件之间,流体间隙被构造为接收流体,以控制冷却部件和加热部件之间的热传导率。钎焊材料可以布置在冷却部件和加热部件之间,来连接这些部件,钎焊材料与流体间隙相邻布置。
本发明还提供了一种衬底保持器,其可以包括用于防止钎焊材料流动到流体间隙中的装置。
在本发明的优选实施例中,该装置可以包括槽。
本发明还提供了一种制造衬底保持器的方法,该衬底保持器包括加热部件和冷却部件,该方法包括提供流体间隙,所述流体间隙被构造为接收流体,以改变加热部件和冷却部件之间的热传导率;和将钎焊材料与流体间隙相邻地插入在加热部件和冷却部件之间。
附图说明
结合在说明书中并作为说明书一部分的附图图示本发明的目前优选的实施例,并与以上给出的总体说明和以下给出的优选实施例的详细说明一起用于解释本发明的原则。
图1是根据本发明示例性实施例的半导体处理设备的示意图。
图2是图1的衬底保持器的剖视图。
图3是图2的衬底保持器的一部分的详细视图。
具体实施方式
现在参考附图,将接下来对本发明的一些实施例进行描述,附图中相似标号表示相同或对应的部件。
图1图示了半导体处理系统1,其例如可以用于化学和/或等离子体处理。处理系统1包括真空处理室10、具有支撑表面22的衬底保持器20、和由衬底保持器20支撑的衬底30。处理系统1还包括用于在处理室10中提供减压气氛的泵吸系统40、由电源130通电的嵌入式电加热部件50、和由冷却沟道组件120控制的、具有用于液体流动的沟道的嵌入式冷却部件60。流体间隙90设置在加热部件50和冷却部件60之间。设置流体供应单元140来经由导管98对流体间隙90供应和移除流体92,以帮助衬底保持器20的加热和冷却。作为非限制性的示例,流体可以是氦(He)气,或可选地是能够迅速并显著提高或降低跨过流体间隙90的导热性的其他流体。
图2和3示出了与衬底30相关的衬底保持器20的其他细节。如图所示,从氦供应体(未示出)设置氦背侧流80,用于增强衬底保持器20和衬底30之间的热传导性。增强的热传导性确保了与加热部件50直接相邻的支撑表面22的迅速温度控制,并导致衬底30的迅速温度控制。表面22上的槽用于晶片背侧气体分布。用于背侧流的常用气体是He和Ar,但也可以使用其他的气体。衬底保持器20可以包括静电夹紧电极(未示出)和为提供衬底30到衬底保持器20的静电夹紧所需的对应的DC电源以及保持器连接元件。
如图2和3所示,加热部件50可以具有主体部分52,在加热部件50和冷却部件60的运行期间,主体部分52适于将热传递到衬底保持器20和从衬底保持器20传递热,并接着将热传递到衬底30和从衬底30传递热。主体部分52可以由铝合金制成并通过机械加工和铸造来形成。在本发明的优选实施例中,主体部分52由铸造铝合金制成。
主体部分52可以包括嵌入式加热器54。嵌入式加热器54可以包括一个或多个加热器区域,其可以被分别地通电以加热主体部分52的多个部分,并将热传递到衬底保持器20和衬底30。在本发明的优选实施例中,主体部分52包括两(2)个加热器区域,这两个加热器区域可以被分别地通电并铸造到加热部件50的主体部分52中,使得加热部件50成为包括主体部分52和嵌入式加热器54的一体部件。
包括主体部分52和嵌入式加热器54的优选加热器部件50的示例是具有直径为约0.4mm的因康镍(Inconel)合金外管的板式加热器、和与具有氧化镁的外管隔绝的镍铬合金线式加热器,其可以从密苏里州圣路易斯的Watlow Electric Manufacturing公司获取。
如图2和3所示,冷却部件60可以包括上盖60和下盖64,在冷却部件60的运行期间,上盖60和下盖64适于从衬底保持器20传递热,并接着从衬底30传递热。
上盖62可以包括多个沟道66,其布置为容纳由冷却沟道组件120控制的液体流,从而使冷却部件60变冷并接着使衬底保持器20和衬底30变冷。上盖62可以由铝合金制成,并可以通过机械加工或铸造来形成。在本发明的优选实施例中,上盖62是铸造铝合金,且更优选地是与加热部件50的主体部分52相同的铸造铝合金。
冷却部件60的下盖64可以包括平坦表面,该平坦表面与上盖62的沟道66一起界定了封闭容积以防止液体不期望地从冷却部件60泄漏。下盖64可以由铝合金制成,和/或可以通过铸造来形成。于是,在本发明的优选实施例中,下盖64是铸造铝合金,并更优选地是与冷却部件60的上盖62相同的铸造铝合金,而且进一步优选地是与加热部件50的主体部分52相同的铸造合金。
虽然附图示出的冷却部件60的优选实施例包括完全布置在上盖62中的沟道66,但是应该理解的是沟道66可以布置在上盖62或者底盖64的之一或两者中。
如图2和3所示,流体间隙90可以设置在冷却部分60的上内表面与加热部分50的下内表面之间,使得在保持这些表面非常靠近彼此(即,在微米范围内;优选地,约50微米)的同时最小化跨过流体间隙90的热传导率。以此构造,通过流体92的引入和排出,跨过流体间隙90的热传导率能以可控方式改变两个量级或更多。
流体间隙90的细节在申请人的共同未决的申请No.10/670,292(代理卷号No.237539US6YA)中讨论,其公开通过引用而将其全文结合于此。如共同未决的申请中所讨论的,流体间隙90可以界定单区域系统,并如图所示可以界定双区域系统,在双区域系统中,第一区域94a包括内槽和内端口(未示出),并由内槽和内端口形成,且第二区域94b包括外槽和外端口(未示出),并由外槽和外端口形成。在双区域系统中,内槽控制衬底保持器20的第一区域94b中的压力、热传导率、和/或温度,而外槽控制衬底保持器20的第二区域94a中的这些条件。在单或双区域系统中的任何一个槽都可以全部或部分地布置在加热部件50和冷却部件60中,并更具体地,在加热部件50的主体部分52和冷却部件60的上盖62中。
以下讨论衬底保持器20的部件之间的连接细节。
应该理解的是,图1至3所示的系统仅是示例性的,且可以包括其他元件。例如,处理系统1还可以包括RF电源和RF功率馈送、用于放置和移除晶片的销、热传感器、以及本领域公知的任何其他元件。处理系统1还可以包括进入真空室10的处理气体管线、以及第二电极(用于电容耦合型系统)或RF线圈(用于电感耦合型系统),用于将真空室10中的气体激励为等离子体。本发明还可应用于诸如晶片的快速热处理之类的非等离子体处理。
本发明的各种实施例可以如下操作。在加热阶段,加热部件50被供电,同时流体92从流体间隙90被排出到约10Torr附近的低压。这样,较大地降低了跨过流体间隙90的热传导率,使得流体间隙90充当热阻挡。就是说,排出步骤有效地将衬底保持器20的直接围绕冷却部件60的部分与衬底保持器20的直接围绕加热部件50的部分分离。于是,衬底保持器20的被加热部分50加热的区块被有效地减小到仅为衬底保持器20的直接覆盖和围绕加热部件50的部分,其允许支撑表面22和晶片30的快速加热。代替加热部件50的使用,可以由外部热流提供加热,例如来自真空室10中产生的等离子体的热流。
在冷却阶段,加热部件50被关闭,流体92从流体供应单元140供应到流体间隙90,且冷却部件60被启动。当以更高的压力,例如大气压(760Torr)用流体92填充流体间隙90时,显著提高了跨过流体间隙90的热传导率,于是由冷却部件60提供了支撑表面22和晶片30的快速冷却。加热部件50的主体部分52和冷却部件60的上盖62之间的接触防止流体92流出流体间隙90。
本发明的各种实施例可以如下组装、制造或成型。如上所述,沟道66可以形成在冷却部件60的上盖62和下盖64之一或两者中。冷却部件60的上盖62和下盖64可以互相连接,从而封闭沟道66。通过将可以是片状形式的钎焊材料82插入在上盖62和下盖64的表面之间,并通过将冷却部件60加热到钎焊材料82的熔点以上并在上盖62和下盖64之一或两者的熔点以下的温度,可以将由合适材料形成的上盖62和下盖64钎焊到彼此。于是,在本发明的包括由相同铸造铝合金形成的上盖62和下盖64的优选实施例中,上盖62可以被钎焊到下盖64以形成冷却部件60。
加热部件50的主体部分52可以铸造有嵌入的加热器54,从而形成加热部件50。
加热部件50和冷却部件60,且更具体地,加热部件50的主体部分52和冷却部件60的上盖62可以互相连接。如以上的背景技术部分所述,加热部件50和冷却部件60应该附装到彼此,以实现理想的强度和热传导率的连接。根据本发明的实施例,通过将可以是片状形式的钎焊材料84插入在加热部件50和冷却部件60的表面之间,可以将由合适材料形成的加热部件50的主体部分52和冷却部件60的上盖62钎焊到彼此。加热部件50和冷却部件60可以被加热到钎焊材料84的熔点之上,并在加热部件50和冷却部件60之一或两者的熔点之下。于是,在本发明的包括由相同铸造铝合金形成的主体部分52和上盖62的优选实施例中,主体部分52可以被钎焊到上盖62以连接加热部件50和冷却部件60,从而形成衬底保持器20的至少一部分。
本发明人已经认识到,加热部件50和冷却部件60的钎焊可以导致钎焊材料84流动到主体部分52和上盖62之间的流体间隙90中,从而消除由构造为用流体92填充和排出的流体间隙90所提供的优点。为减少或防止钎焊材料84不期望地流动到流体间隙90中,主体部分52和/或上盖62可以设置有槽70。槽70可以制成一定的尺寸、形状或方位,以防止钎焊材料84跨越槽的流动。槽70可以是同心圆的形式,并可以形成在加热部件50和冷却部件60(即,主体部分52和上盖62)之一或两者中。也可以使用多个平行的和/或同心的槽70。
支撑表面22可以与主体部分50连接。在本发明的优选实施例中,支撑表面22可以通过诸如硅酮基粘接剂之类的粘接剂机械地连接到主体部分52。粘接剂必须允许接合部分的有差别的热膨胀。
在钎焊之后可以通过例如退火、强阳极氧化、氧化铝或氧化钇陶瓷喷涂等处理衬底保持器20以将所期望的机械性能、硬度、等离子体抵抗性、或其他所期望的性能提供到加热部件50和冷却部件60,其中性能包括在衬底保持器20的部件中的一个或多个的钎焊期间受影响的性能(例如,退火以减轻残余应力)。
本发明可以有效地应用在各种系统中,在这些系统中有效的温度控制或快速的温度控制是较重要的。这样的系统包括但不限于使用等离子体处理、非等离子体处理、化学处理、刻蚀、沉积、膜形成或灰化的系统。本发明也可以应用到用于不同于半导体晶片的靶物体的等离子体处理设备或类似设备,该靶物体例如是LCD玻璃衬底。此外,应该理解的是,本发明能以多个可选实施例的方式来实现,其中加热部件50包括一个或多个热电(珀耳帖)装置、或者与冷却沟道60相似的一个或多个沟道,氟化电介质液体、水、或蒸气能以提高的温度通过其流动。而且,冷却部件60可以包括一个或多个热电(珀耳帖)装置。
本领域的技术人员将认识到,本发明可以在不偏移其精神或本质特征的情况下以各种具体形式来实施。因此,本公开实施例在所有方面应被认为是解释性的,而不是限制性的。本发明的范围由所附权利要求表示,而非由前述说明表示,且意在将落在权利要求的含义和范围及其等同物内的所有修改都包含在其中。

Claims (47)

1.一种用于支撑衬底的衬底保持器,包括:
支撑表面;
冷却部件;
加热部件,其与所述支撑表面相邻定位,并定位在所述支撑表面和所述冷却部件之间;
流体间隙,其定位在所述冷却部件和所述加热部件之间,所述流体间隙构造为接收流体,以改变所述冷却部件和所述加热部件之间的热传导率;和
钎焊材料,其布置在所述冷却部件和所述加热部件之间,所述钎焊材料与所述流体间隙相邻布置。
2.如权利要求1所述的衬底保持器,其中所述加热部件包括主体部分和布置在所述主体部分中的嵌入式加热器。
3.如权利要求2所述的衬底保持器,其中所述主体部分包括铝合金,且所述嵌入式加热器铸造在所述铝合金中。
4.如权利要求1所述衬底保持器,其中所述冷却部件包括上盖和下盖。
5.如权利要求4所述的衬底保持器,其中所述上盖包括多个沟道,所述沟道构造为接收冷却流体。
6.如权利要求5所述的衬底保持器,其中所述下盖包括与所述上盖相邻定位的、具有平坦顶表面的板。
7.如权利要求6所述的衬底保持器,还包括:
定位在所述上盖和所述下盖之间的第二钎焊材料。
8.如权利要求1所述的衬底保持器,还包括:
定位在所述支撑表面和所述加热部件之间的机械连接。
9.如权利要求8所述的衬底保持器,其中所述机械连接包括粘接剂。
10.如权利要求1所述的衬底保持器,其中所述流体间隙包括在所述加热部件和所述冷却部件的至少一个中的至少一个流体间隙槽。
11.如权利要求10所述的衬底保持器,其中所述流体间隙槽布置在所述冷却部件中。
12.如权利要求10所述的衬底保持器,其中所述流体间隙槽布置在所述加热部件中。
13.如权利要求1所述的衬底保持器,还包括:
定位在所述冷却部件和所述加热部件之间的至少一个隔离槽,所述隔离槽构造为防止所述钎焊材料流动到所述流体间隙中。
14.如权利要求13所述的衬底保持器,其中所述隔离槽的至少一部分布置在所述冷却部件中。
15.如权利要求13所述的衬底保持器,其中所述隔离槽的至少一部分布置在所述加热部件中。
16.如权利要求15所述的衬底保持器,其中所述隔离槽的至少一部分布置在所述冷却部件中。
17.如权利要求13所述的衬底保持器,其中所述至少一个隔离槽包括多个隔离槽。
18.如权利要求17所述的衬底保持器,其中所述多个隔离槽是同心的。
19.如权利要求1所述的衬底保持器,还包括:
第一和第二隔离槽,其定位在所述加热部件和所述冷却部件之间,并定位在所述流体间隙的相对侧上,所述隔离槽被构造为防止所述钎焊材料流动到所述流体间隙中。
20.如权利要求18所述的衬底保持器,其中所述隔离槽布置在所述加热部件和所述冷却部件的至少一个中。
21.如权利要求19所述的衬底保持器,其中所述加热部件和所述冷却部件包括铝合金。
22.如权利要求19所述的衬底保持器,其中所述加热部件和所述冷却部件包括相同的铝合金。
23.如权利要求21所述的衬底保持器,其中所述加热部件包括主体部分和嵌入式加热器,所述嵌入式加热器铸造到所述主体部分中。
24.如权利要求22所述的衬底保持器,其中所述冷却部件包括上盖和下盖,所述加热部件定位在所述支撑表面和所述上盖之间。
25.如权利要求24所述的衬底保持器,其中所述上盖包括与所述加热部件相同的铝合金。
26.一种用于支撑衬底的衬底保持器,包括:
支撑表面;
冷却部件;
加热部件,其与所述支撑表面相邻定位,并定位在所述支撑表面和所述冷却部件之间;
流体间隙,其定位在所述冷却部件和所述加热部件之间,所述流体间隙构造为接收流体,以改变所述冷却部件和所述加热部件之间的热传导率;
钎焊材料,其布置在所述冷却部件和所述加热部件之间,所述钎焊材料与所述流体间隙相邻布置;和
用于防止钎焊材料流动到所述接触区域中的装置。
27.如权利要求26所述的衬底保持器,其中所述用于防止流动的装置包括槽。
28.如权利要求27所述的衬底保持器,其中所述槽布置在所述加热部件和所述冷却部件的至少一个中。
29.如权利要求28所述的衬底保持器,其中所述槽的至少一部分布置在所述冷却部件中。
30.如权利要求28所述的衬底保持器,其中所述槽的至少一部分布置在所述加热部件中。
31.如权利要求30所述的衬底保持器,其中所述槽的至少一部分布置在所述冷却部件中。
32.一种制造衬底保持器的方法,所述衬底保持器包括加热部件和冷却部件,所述方法包括以下步骤:
提供流体间隙,所述流体间隙被构造为接收流体,以改变所述加热部件和所述冷却部件之间的热传导率;和
将钎焊材料与所述流体间隙相邻地插入在所述加热部件和所述冷却部件之间。
33.如权利要求32所述的方法,还包括以下步骤:
用所述钎焊材料钎焊所述加热部件和所述冷却部件,以形成所述衬底保持器。
34.如权利要求33所述的方法,还包括以下步骤:
将槽布置在所述加热部件和所述冷却部件之间,所述槽防止所述钎焊材料流动到所述流体间隙中。
35.如权利要求32所述的方法,还包括以下步骤:
将嵌入式加热器铸造在主体部分中,以形成所述加热部件。
36.如权利要求35所述的方法,其中所述主体部分包括铝合金,且所述嵌入式加热器被铸造在所述铝合金中。
37.如权利要求32所述的方法,还包括以下步骤:
将上盖与下盖连接以形成所述冷却部件。
38.如权利要求37所述的方法,其中所述上盖包括多个沟道,所述沟道构造为接收冷却流体。
39.如权利要求37所述的方法,其中所述下盖包括与所述上盖相邻定位的、具有平坦顶表面的板。
40.如权利要求39所述的方法,还包括以下步骤:
将所述上盖钎焊到所述下盖。
41.如权利要求32所述的方法,还包括:
将所述支撑表面机械连接到所述加热部件。
42.如权利要求41所述的方法,其中所述机械连接包括粘接。
43.如权利要求32所述的方法,其中所述加热部件和所述冷却部件包括铝合金。
44.如权利要求32所述的方法,其中所述加热部件和所述冷却部件包括相同的铝合金。
45.一种用于支撑衬底的衬底保持器,包括:
支撑表面;
用于冷却所述支撑表面的装置;
用于加热所述支撑表面的装置,其与所述支撑表面相邻定位,并定位在所述支撑表面和所述用于冷却的装置之间;
用于接收液体的装置,改变所述用于冷却的装置和所述用于加热的装置之间的热传导率,所述用于接收液体的装置定位在所述用于冷却的装置和所述用于加热的装置之间;和
用于将所述用于冷却的装置和所述用于加热的装置连接的装置。
46.如权利要求45所述的衬底保持器,其中所述用于加热的装置包括热电装置和沟道的至少一个,所述沟道被构造为使得提高温度的氟化电介质液体、水和蒸气中的至少一种流动。
47.如权利要求45所述的衬底保持器,其中所述用于冷却的装置包括至少一个热电装置。
CNB2004800362098A 2004-01-30 2004-12-23 具有流体间隙的衬底保持器和制造衬底保持器的方法 Expired - Fee Related CN100452306C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54051904P 2004-01-30 2004-01-30
US60/540,519 2004-01-30

Publications (2)

Publication Number Publication Date
CN1890783A true CN1890783A (zh) 2007-01-03
CN100452306C CN100452306C (zh) 2009-01-14

Family

ID=34837391

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800362098A Expired - Fee Related CN100452306C (zh) 2004-01-30 2004-12-23 具有流体间隙的衬底保持器和制造衬底保持器的方法

Country Status (6)

Country Link
US (1) US8007591B2 (zh)
JP (1) JP4833859B2 (zh)
KR (1) KR101118863B1 (zh)
CN (1) CN100452306C (zh)
TW (1) TWI257648B (zh)
WO (1) WO2005074450A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105551926A (zh) * 2015-12-11 2016-05-04 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100370592C (zh) * 2005-12-08 2008-02-20 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘
US8760621B2 (en) * 2007-03-12 2014-06-24 Asml Netherlands B.V. Lithographic apparatus and method
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP5743895B2 (ja) 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8524003B2 (en) * 2009-08-31 2013-09-03 E I Du Pont De Nemours And Company Loaded film cassette for gaseous vapor deposition
US8534591B2 (en) * 2009-08-31 2013-09-17 E I Du Pont De Nemours And Company Apparatus and method for loading a film cassette for gaseous vapor deposition
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
US8551249B2 (en) * 2009-08-31 2013-10-08 E I Du Pont De Nemours And Company Film cassette for gaseous vapor deposition
US20110047798A1 (en) * 2009-08-31 2011-03-03 Peter Francis Carcia Method for making a film cassette for gaseous vapor deposition
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10431435B2 (en) 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11375320B2 (en) * 2018-08-30 2022-06-28 Purdue Research Foundation Thermoacoustic device and method of making the same
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20220002866A1 (en) * 2018-11-28 2022-01-06 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210102913A (ko) * 2018-12-27 2021-08-20 에이에스엠엘 홀딩 엔.브이. 리소그래피 장치에서의 인시튜 입자 제거 장치 및 방법
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3909917A (en) * 1973-08-09 1975-10-07 Viktor Fedorovich Lebedev Method of brazing refractory metals and compounds based thereon
JPS58182818A (ja) 1982-04-21 1983-10-25 Kokusai Electric Co Ltd 気相成長装置
JPH02263789A (ja) 1989-03-31 1990-10-26 Kanagawa Pref Gov ダイヤモンド単結晶膜を有するシリコン基板とその製造方法
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
JP3238427B2 (ja) 1991-07-25 2001-12-17 東京エレクトロン株式会社 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
US5323292A (en) * 1992-10-06 1994-06-21 Hewlett-Packard Company Integrated multi-chip module having a conformal chip/heat exchanger interface
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
JPH09172057A (ja) 1995-12-20 1997-06-30 Souzou Kagaku:Kk 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JPH10256359A (ja) 1997-03-08 1998-09-25 Seiichiro Miyata 静電チャック
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
JP3911787B2 (ja) 1996-09-19 2007-05-09 株式会社日立製作所 試料処理装置及び試料処理方法
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP2954908B2 (ja) 1997-09-30 1999-09-27 エスエムシー株式会社 基板の温度調整装置
JP4256503B2 (ja) 1997-10-30 2009-04-22 東京エレクトロン株式会社 真空処理装置
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
FR2792084A1 (fr) 1999-04-12 2000-10-13 Joint Industrial Processors For Electronics Dispositif de chauffage et de refroidissement integre dans un reacteur de traitement thermique d'un substrat
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP2001110883A (ja) 1999-09-29 2001-04-20 Applied Materials Inc 基板支持装置及びその伝熱方法
JP2001110885A (ja) 1999-10-14 2001-04-20 Hitachi Ltd 半導体処理装置および半導体処理方法
JP2001347480A (ja) 2000-06-07 2001-12-18 Toto Ltd 静電チャックユニット
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2002009064A (ja) 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6508062B2 (en) * 2001-01-31 2003-01-21 Applied Materials, Inc. Thermal exchanger for a wafer chuck
JP3973853B2 (ja) * 2001-03-28 2007-09-12 大日本スクリーン製造株式会社 熱処理装置
JP2002327275A (ja) * 2001-05-02 2002-11-15 Tokyo Electron Ltd 真空処理方法及び真空処理装置
JP4945031B2 (ja) 2001-05-02 2012-06-06 アプライド マテリアルズ インコーポレイテッド 基板加熱装置および半導体製造装置
JP2003179040A (ja) 2001-12-10 2003-06-27 Tokyo Electron Ltd 熱処理装置
JP2003243490A (ja) 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP2003282685A (ja) 2002-03-27 2003-10-03 Sumitomo Metal Ind Ltd 冷却プレート
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP3971296B2 (ja) * 2002-12-27 2007-09-05 Dowaホールディングス株式会社 金属−セラミックス接合基板およびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105551926A (zh) * 2015-12-11 2016-05-04 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台

Also Published As

Publication number Publication date
KR20060127387A (ko) 2006-12-12
US8007591B2 (en) 2011-08-30
WO2005074450A3 (en) 2006-02-16
WO2005074450A2 (en) 2005-08-18
JP4833859B2 (ja) 2011-12-07
TW200534349A (en) 2005-10-16
US20070224777A1 (en) 2007-09-27
TWI257648B (en) 2006-07-01
CN100452306C (zh) 2009-01-14
KR101118863B1 (ko) 2012-03-19
JP2007525833A (ja) 2007-09-06

Similar Documents

Publication Publication Date Title
CN100452306C (zh) 具有流体间隙的衬底保持器和制造衬底保持器的方法
JP7030143B2 (ja) 高温処理用静電チャックアセンブリ
KR102526554B1 (ko) 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체
US9681497B2 (en) Multi zone heating and cooling ESC for plasma process chamber
US8075729B2 (en) Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
JP3457477B2 (ja) 静電チャック
CN100440422C (zh) 具有动态温度控制的基片支架
CN110444505A (zh) 用于基板支撑组件的多区垫圈
JP2000505152A (ja) 真空処理装置のための熱伝導性チャック
TW202040744A (zh) 局部加熱之多區域基材支撐座
JP2005510869A (ja) 加熱真空支持装置
EP3041039B1 (en) Sample-retainer
CN115410978B (zh) 静电卡盘和半导体工艺设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090114

Termination date: 20161223

CF01 Termination of patent right due to non-payment of annual fee