JP2001160479A - セラミックスヒーターおよびそれを用いた基板処理装置 - Google Patents

セラミックスヒーターおよびそれを用いた基板処理装置

Info

Publication number
JP2001160479A
JP2001160479A JP34191699A JP34191699A JP2001160479A JP 2001160479 A JP2001160479 A JP 2001160479A JP 34191699 A JP34191699 A JP 34191699A JP 34191699 A JP34191699 A JP 34191699A JP 2001160479 A JP2001160479 A JP 2001160479A
Authority
JP
Japan
Prior art keywords
ceramic heater
substrate
base
heating element
flow path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP34191699A
Other languages
English (en)
Other versions
JP4209057B2 (ja
JP2001160479A5 (ja
Inventor
Masaki Narishima
正樹 成島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP34191699A priority Critical patent/JP4209057B2/ja
Priority to US09/722,485 priority patent/US6951587B1/en
Publication of JP2001160479A publication Critical patent/JP2001160479A/ja
Publication of JP2001160479A5 publication Critical patent/JP2001160479A5/ja
Application granted granted Critical
Publication of JP4209057B2 publication Critical patent/JP4209057B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices

Abstract

(57)【要約】 【課題】 加熱面の均熱性を高く維持しつつ冷却効率の
高いセラミックスヒーターを提供すること、およびこの
ようなセラミックスヒーターを用いた基板処理装置を提
供すること。 【解決手段】 上面が基板Wの載置面2aであるセラミ
ックス製の基体2と、基体2に埋設された発熱体3と、
基体2の発熱体3の下方位置に設けられた流体流路4と
によりセラミックスヒーター1が構成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、CVD(Chemical
Vapor Deposition)やプラズマエッチング等の処理に
おいて基板を加熱するセラミックヒーターおよびその製
造方法、ならびにそれを用いた基板処理装置に関する。
【0002】
【従来の技術】半導体デバイスの製造においては、被処
理体である半導体ウエハに対して、CVD処理やプラズ
マエッチング処理のような真空処理を施す工程が存在す
るが、その処理に際しては半導体ウエハを所定の温度に
加熱する必要があるため、ヒーターを用いて基板支持部
材を加熱している。
【0003】このようなヒーターとしては従来からステ
ンレスヒーター等が用いられてきたが、近年、上記処理
に用いられるハロゲン系ガスによる腐蝕が生じにくく、
熱効率が高いセラミックヒータが採用されつつある。こ
のようなセラミックヒーターは、AlN等の緻密質セラ
ミックス焼結体からなる基体の内部に、高融点金属から
なる発熱ワイヤーを埋設した構造を有し、基体の上面に
半導体ウエハを載置するようになっている。
【0004】一方、CVDやプラズマエッチング等にお
いては、半導体ウエハの温度を500℃以上の高温にし
つつしかも極めて高い均熱性が要求されるが、このよう
に基体に埋設されたセラミックヒーターのみでは所望の
均一性を得ることが困難であることから、セラミックヒ
ーターの半導体ウエハの載置面とヒーターとの間に流体
流路を設け、流体流路中に流れる流体の対流によってセ
ラミックスヒータ基体の各場所の温度差を減少させ、載
置面の加熱温度を均一にする技術が提案されている(特
開平7−272834号公報)。
【0005】また、この技術では、セラミックヒーター
が用いられる処理装置をメンテナンスする際に、このよ
うに流体を流すことによりヒーターを迅速に冷却するこ
とができ、ダウンタイムすなわち装置の休止時間を短縮
することができるとしている。
【0006】
【発明が解決しようとする課題】ところで、半導体デバ
イスの製造工程においては、さらなるスループットの向
上が求められており、装置のメンテナンス等の際のダウ
ンタイムをさらに短縮することが望まれている。例え
ば、枚葉式のCVD装置においては、定期的にハロゲン
系ガスによるin−situクリーニングを行ってお
り、その際に装置内部材等へのダメージを低減するため
に、成膜温度の700℃から150〜500℃にセラミ
ックヒーターの温度を低下させる必要があるが、従来は
その冷却時間が3時間程度でありさらなる冷却時間の短
縮が求められている。また、メンテナンス等のためにチ
ャンバー内を大気開放する際には、酸化防止等の観点か
らチャンバー内部を室温付近に冷却するが、その際にも
冷却に長時間を要するため、さらなる冷却時間の短縮が
求められている。
【0007】しかしながら、上述の特開平7−2728
34号公報に開示された技術は主に均熱性を得るための
技術であって冷却能力は必ずしも十分ではない。すなわ
ち、この技術において十分な冷却能力を得るためには流
体流路の断面積を大きくする必要があるが、この場合に
は発熱体からの熱が半導体ウエハに十分に伝わらず加熱
効率が悪くなってしまうため、ヒーターの冷却手段とし
ては不十分である。したがって、セラミックヒーターを
冷却する時間を短縮するのにも限界があり、要求される
短時間冷却を満たすことは困難である。
【0008】本発明はかかる事情に鑑みてなされたもの
であって、加熱面の均熱性を高く維持しつつ冷却効率の
高いセラミックスヒーターを提供することを目的とす
る。また、このようなセラミックスヒーターを用いた基
板処理装置を提供することを目的とする。
【0009】上記課題を解決するために、本発明は、上
面が基板の載置面であるセラミックス製の基体と、前記
基体に埋設された発熱体と、前記基体の前記発熱体の下
方位置に設けられた流体流路とを具備することを特徴と
するセラミックスヒーターを提供する。
【0010】上記構成によれば、発熱体の下方位置に流
体流路を設けているので、流体流路の断面積を大きくし
ても加熱効率が低下することがない。したがって、流体
流路の断面積を大きくすることにより、そこに比較的多
量の流体を通流させることができ、セラミックスヒータ
ーを短時間で所定の温度まで冷却することができる。ま
た、流体流路を発熱体の下方位置に設けても所望の均熱
性を確保することができる。
【0011】上記セラミックスヒーターにおいて、前記
流体流路は、複数の同心円状部分と、複数のこれら同心
円状部分をつなぐ部分とを有する構造とすることが好ま
しい。また、前記流体流路は、前記基体の中央部に流体
入口を有し、前記基体の端部に流体出口を有する構造と
することが好ましい。これにより、均熱性が一層良好と
なるとともに、冷却効率を高くすることができる。
【0012】前記流体流路には、Ar、He、Neから
選択される少なくとも1種を通流されることができる。
例えば、ArとHeとの混合ガスを通流させることがで
きる。
【0013】前記流体流路に通流される流体は、150
℃以上であることが好ましい。低温の流体を通流させる
場合には、ヒートショックによりセラミックス製の基体
が損傷するおそれがあるが、通流する流体の温度を15
0℃以上にすることにより、ヒートショックによる損傷
を防止することができる。この際の流体の温度は、基体
の加熱温度に応じて適宜設定することが好ましい。ま
た、セラミックヒーターを冷却する際には、冷却の段階
に応じて段階的に流体の温度を低下させることが好まし
い。さらに、セラミックスヒーターが150℃近傍まで
低下した時点で流体としてより低温のものを用いれば、
さらに低温まで効率良く冷却することができる。
【0014】前記発熱体としては、高融点金属を巻回し
てなる巻回体を所定パターンに配置したものが例示され
る。また、所定パターンのグラファイトまたはガラス状
カーボンからなるもの、さらにその上にガラス状窒化ボ
ロンを被覆してなるものも用いることができる。グラフ
ァイトまたはガラス状カーボンは、基体として用いられ
るAlN等のセラミックスとの間に熱膨張差が少なく、
これらを発熱体として用いることにより高速昇温および
高速降温が可能となる。また、ガラス状窒化ボロン(B
N)はグラファイトまたはガラス状カーボンを保護する
機能および緩衝機能を有していることから、より一層高
速昇温および高速降温が可能となる。
【0015】前記基体の上面近傍に電極を設け、電極に
通電することにより基板を静電吸着可能に構成すること
ができる。これにより、真空雰囲気でも基板を確実に保
持することが可能となる。
【0016】また、本発明は、基板が収容され内部が真
空状態に保持可能なチャンバーと、前記チャンバー内に
配置され、基板を載置するとともに加熱するセラミック
スヒーターと、前記チャンバー内で基板に所定の処理を
施すための処理手段とを具備し、前記セラミックスヒー
ターは、上面が基板の載置面であるセラミックス製の基
体と、前記基体に埋設された発熱体と、前記基体の前記
発熱体の下方位置に設けられた流体流路とを有すること
を特徴とする基板処理装置を提供する。
【0017】この場合に、前記処理手段としては、チャ
ンバー内に処理ガスを導入するガス導入機構を有し、前
記処理ガスの反応により基板上に所定の膜を形成するも
の、すなわちCVDを行うものが例示される。前記処理
手段は、さらに処理ガスのプラズマを生成するプラズマ
生成機構を有していてもよく、この場合にはプラズマC
VDが行われる。
【0018】また、前記処理手段としては、チャンバー
内に処理ガスを導入するガス導入機構と、前記チャンバ
ー内に前記処理ガスのプラズマを生成するプラズマ生成
機構とを有し、前記処理ガスのプラズマにより基板上の
所定の膜をエッチングするものが例示される。
【0019】さらに、前記セラミックスヒーターの基体
の上面近傍に電極を設け、電極に通電することにより基
板を静電吸着可能に構成することができる。これによ
り、真空雰囲気に保持されれたチャンバー内において基
板を確実にセラミックヒーターの上面に保持させること
が可能となる。
【0020】
【発明の実施の形態】以下、添付図面を参照して本発明
の実施形態について具体的に説明する。図1は本発明の
一実施形態に係る半導体ウエハ処理用のセラミックスヒ
ータを示す垂直断面図、図2はそのA−Aラインで切断
した水平断面図である。
【0021】セラミックスヒーター1は、セラミックス
製で円盤状をなす基体2と、巻回状態で基体2に埋設さ
れた発熱抵抗体3とを備えている。また、基体2内にお
ける発熱抵抗体3の下方位置には、流体流路4が設けら
れている。
【0022】基体2を構成するセラミックスは緻密質の
セラミックスであればよいが、窒化珪素(Si
)、窒化アルミニウム(AlN)、サイアロン
(SiAlON)等の窒化物系セラミックスが好適であ
る。また、基体2の上面が載置面2aとなっており、そ
の載置面2aに半導体ウエハWが載置される。
【0023】発熱抵抗体3は基体2の内部にらせん状に
配置された状態で埋設されれおり、発熱抵抗体3の両端
部は基体2の底部の外側近傍部分に埋設された端子5に
接続されている。そして端子5からの配線は電源6に接
続されており、この電源6の出力は、図示しない熱電対
の信号に基づいてコントローラ7により制御される。発
熱体3は金属製であり、加熱温度が比較的低い場合には
ニクロム線を用いることができる。しかし、高温用のセ
ラミックスヒーターにおいては、高融点金属が好まし
く、特に、タングステン(W)、モリブデン(Mo)、
白金(Pt)、これらの合金が好ましい。
【0024】流体流路4は、図2に示すように、基体2
と同心円状に形成された複数の環状部4aと、隣接する
環状部4aを4箇所ずつ放射状につなぐ放射状部4bと
を有している。周方向に隣接する放射状部4bは90°
ずつ等間隔で配置され、径方向に隣接する放射状部4b
は45°ずれて配置されている。そして、基体2の中心
には、流体流路4に流体を供給する流体供給孔9が設け
られ、環状部4aの最外側部分に対応する位置には2箇
所の流体排出孔8が互いに対向した位置に設けられてい
る。流体流路4をこのように構成することにより、熱交
換が有効に行われやすくなり、冷却効率を良好にするこ
とができる。流体供給孔9には流体供給管9aが接続さ
れ流体排出孔8には流体排出管8aが接続されている。
これらは流体供給源10に接続されており、図示しない
ポンプ等の手段により循環されるようになっている。流
体供給源10から供給されるガスは温調器11により所
定温度に温調されるようになっており、これにより基体
2の均熱性維持および冷却制御が可能となる。また、流
体排出管8aには熱交換器12が設けられており、高温
の基体2により昇温した流体の粗熱を除去することがで
きるようになっている。
【0025】流体流路4に通流される流体は、150℃
以上であることが好ましい。低温の流体を通流させる場
合には、ヒートショックによりセラミックス製の基体2
が損傷するおそれがあるが、通流する流体の温度を15
0℃以上にすることにより、ヒートショックによる損傷
を防止することができる。この際の流体の温度は、基体
2の加熱温度に応じて、ヒートショックによる損傷が生
じない範囲内で冷却効率が良好になるように適宜設定す
ることが好ましい。また、セラミックヒーター1を冷却
する際には、ヒートショックによる損傷をより確実に防
止する観点から、冷却の段階に応じて上記温調器11に
より段階的に流体の温度を低下させることが好ましい。
この際に、段階的な温度設定は100〜200℃間隔が
好ましい。さらに、セラミックスヒーター1が150℃
近傍まで低下した時点で流体としてより低温のものを用
いれば、さらに低温まで効率良く冷却することができ
る。
【0026】流体流路4を通流させる流体としては、不
活性ガスを用いることができ、Ar、He、Neから選
択される少なくとも1種が好適である。特に、ArとH
eとの混合ガスを用いることが好ましい。これは、熱伝
導率が高いが高コストであるHeとコストが低いArを
混合することにより、比較的高い冷却効率を維持しつつ
冷却コストを適正なものとすることができるからであ
る。このような観点からAr:Heは3:1であること
が好ましい。
【0027】このように構成されるセラミックスヒータ
ー1を使用する際には、基体2の上面の載置面2aに半
導体ウエハWを載置した状態で電源6から発熱体3に給
電する。これにより基体2が所定温度に昇温され、半導
体ウエハWが所定温度に加熱される。この際に、流体流
路4に所定流量で所定の流体、例えばAr,He,Ne
から選択されるガスを通流することにより温度制御性が
高まり、基体2は所望の均熱性を確保することが可能と
なる。
【0028】一方、セラミックスヒーター1を加熱温度
から冷却する際には、発熱体3への通電を遮断した後、
流体流路4に上記流体を通流させる。この場合に、流体
流路が発熱体の上にある場合とは異なり、流体流路4の
断面積を大きくしても加熱効率が低下することがないの
で、流体流路4の断面積を大きくすることができる。し
たがって、流体流路4の断面積を大きくして比較的多量
の流体を通流させることができ、セラミックスヒーター
1を短時間で所望の温度まで冷却することができる。
【0029】次に、本発明の他の実施形態について説明
する。図3は本発明の他の実施形態に係るセラミックス
ヒーターを示す断面図である。ここでは、グラファイト
またはガラス状カーボンからなる発熱体13を用いてお
りこの発熱体13が所定パターン(例えばらせん状)形
成された状態で基体2に埋設されている。なお、他の構
成は図1と同様であるから同じ符号を付して説明を省略
する。また、流体供給源10、温調器11、熱交換器1
2は図示を省略しているが、同様に設けられている(以
下の図4〜図9も同様)。
【0030】このようなセラミックスヒーターにおいて
は、発熱体13の材料として用いるグラファイトおよび
ガラス状カーボンの熱膨張係数がそれぞれ2〜3×10
−6/Kおよび1.5×10−6〜2.5×10−6
Kであり、基体2を構成するセラミックス、例えばAl
Nの熱膨張係数である4.6×10−6/Kに比較的近
いため、高速昇温および高速降温によってもヒーターが
損傷せずに使用が可能となる。また、図4に示すよう
に、グラファイトおよびガラス状カーボンからなるコア
14にガラス状BN層15を被覆した構造の発熱体1
3’を使用することもできる。この場合には、ガラス状
BNはグラファイト等のコア14を保護する機能および
緩衝機能を有しており、より一層高速昇温および高速降
温が可能となる。
【0031】次に、本発明のさらに他の実施形態につい
て説明する。図5はさらに他の実施形態に係るセラミッ
クスヒーターを示す断面図である。本実施形態では、基
体2の発熱体3と載置面2aとの間に電極20が設けら
れており、この電極20に電圧を印加する直流電源21
が端子22を介して接続されている。すなわち、基体2
の上面部分に静電チャックが形成されている。なお、他
の構成は図1と同様であるから同じ符号を付して説明を
省略する。
【0032】このような構成において、電極20に直流
電圧を印加することにより、半導体ウエハWが基体2の
載置面2aに静電吸着される。これにより、セラミック
スヒーターが真空雰囲気中で使用される場合にも、確実
に保持することができる。また、これにより、静電チャ
ックとセラミックスヒーターとが一体となったコンパク
トな構造を得ることができる。なお、上記図3および図
4の構造のセラミックスヒーターに電極を設けて静電チ
ャック機能を付与してもよいことはいうまでもない。
【0033】また、本発明のセラミックスヒーターを用
いてプラズマ処理を行う場合には、図6に示すように、
基体2内にプラズマ生成用の電極23を埋設する。この
電極23を接地させ、真空雰囲気内において、対向電極
に高周波電力を印加することによりプラズマを形成する
ことができる。電極23に高周波電力を印加してもよ
い。また、このプラズマ生成用電極23を接地する代わ
りに直流電圧を印加することにより、電極23を静電チ
ャックの電極と兼用することができる。
【0034】次に、このようなセラミックスヒーターの
製造方法について簡単に説明する。まず、プレス成形機
にセットした金型内にセラミックス粉体を装入して予備
成形を行い、予備成形体の表面に、発熱体パターンに応
じて連続的な凹部を設ける。次いで、両端に端子を接着
した発熱体をこの凹部に収容し、その上にセラミックス
粉末をさらに挿入し、セラミックス粉末を一軸加圧成形
して円盤状の成形体を作成し、これをホットプレス等で
焼結させて第1の予備焼結体を得る。
【0035】引き続き、同様にしてセラミックス粉末を
一軸加圧成形して円盤状の成形体を作成し、これをホッ
トプレス等で焼結させて第2の予備焼結体を得る。そし
てこの第2の予備焼結体の上面に流体流路に対応する溝
をサンドブラスト処理やエッチング処理等の適宜の方法
で形成し、流体流路に対応する溝を形成し、さらに流体
供給孔および流体排出孔を形成する。最後に、例えばY
SiAlON系ガラス等のガラスからなる接着剤を用い
て第1の予備焼結体と第2の予備焼結体とを接着し焼成
することにより、これらを一体化する。この際の焼結
は、ホットプレスが好ましいが、常圧焼結であってもよ
い。また、常圧焼結の後、熱間静水圧プレス(HIP)
処理を行ってもよい。
【0036】次に、以上のように構成されるセラミック
スヒーターを用いた基板処理装置について説明する。
【0037】図7は、本発明のセラミックスヒーターを
用いた熱CVD装置を示す断面図である。この熱CVD
装置100は、気密に構成された略円筒状のチャンバー
31を有しており、その中には被処理体である半導体ウ
エハWを水平に支持するとともに、半導体ウエハWを加
熱する上述のセラミックスヒーター32が円筒状の支持
部材33により支持された状態で配置されている。セラ
ミックスヒーター132の外縁部には半導体ウエハWを
ガイドするためのガイドリング34が設けられている。
【0038】セラミックスヒーター32は図1のセラミ
ックスヒーター1と全く同様に形成されている。すなわ
ち、セラミックス製の基体2に発熱体3が埋設されてい
るとともに、発熱体3の下方位置に流体流路4が設けら
れている。発熱抵抗体3の両端部は基体2の底部の外側
近傍部分に埋設された端子5に接続されている。そして
端子5からの配線は支持部材33の内部を通って電源6
に接続されており、この電源6の出力は、コントローラ
7により制御される。
【0039】チャンバー31の天壁31aには、シャワ
ーヘッド35が設けられている。このシャワーヘッド3
5にはセラミックスヒーター32に向けてガスを吐出す
るための多数のガス吐出孔36が形成されている。そし
て、シャワーヘッド35の上部には、ガス導入口37が
形成されており、このガス導入口37にはガス供給配管
38を介してクリーニングガス供給機構39および成膜
ガス供給機構40が接続されている。
【0040】クリーニングガス供給機構39は、例えば
クリーニングガスとしてClFガスを供給するクリー
ニングガス供給源を有しており、定期的にチャンバー3
1内をin−situクリーニングすることができるよ
うになっている。成膜ガス供給機構40は、成膜する膜
がTiN膜の場合には、例えばTiClガス供給源、
NHガス供給源、希釈ガスとしてのArガス供給源等
を有しており、成膜する膜がWSi の場合には例えば
WFガス供給源、SiHClガス供給源、希釈ガ
スとしてのArガス供給源等を有している。
【0041】チャンバー31の底壁31bには、排気管
41が接続されており、この排気管41には真空ポンプ
を含む排気装置42が接続されている。そして排気装置
42を作動させることによりチャンバー31内を所定の
真空度まで減圧することができる。
【0042】このような装置により半導体ウエハWに所
定の薄膜を成膜するには、まず、チャンバー31内に半
導体ウエハWを装入し、セラミックスヒーター32の載
置面にウエハWを載置し、発熱体3により基体2を例え
ば500〜700℃程度に昇温してその上の半導体ウエ
ハWを所定温度に加熱しながら排気装置42によりチャ
ンバー31内を排気してチャンバー31内を真空状態に
し、引き続き、成膜ガス供給機構40からガス供給配管
38を介して成膜ガスを導入してチャンバー31内を所
定の圧力に維持しつつ成膜処理を行う。この場合に、流
体流路4に所定流量で所定の流体、例えばAr,He,
Neから選択されるガスを通流することにより温度制御
性が高まり、基体2は所望の均熱性を確保することが可
能となる。
【0043】一方、このようにして所定枚数の半導体ウ
エハを成膜後、チャンバー31から半導体ウエハを搬出
し、セラミックスヒーター32を150〜500℃程度
に冷却し、チャンバー31内にクリーニングガス、例え
ばClFガスを導入してチャンバー31内をin−s
uituクリーニングするが、この際には発熱体3への
通電を遮断した後、流体流路4に上記流体を通流させ
る。この場合に、上述したように流体流路4の断面積を
大きくすることができ、比較的多量の流体を通流させる
ことができるので、セラミックスヒーター32を短時間
で150〜500℃まで冷却することができる。したが
って、クリーニングの際の装置のダウンタイムを短縮す
ることができる。また、メンテナンス時等にチャンバー
31内を大気開放する場合には、その中の温度を室温付
近まで低下させなければならないが、この場合にも、同
様に短時間で室温まで冷却することができる。
【0044】図8は本発明のセラミックスヒーターを用
いたプラズマCVD装置を示す断面図である。このプラ
ズマCVD装置110は概略的には、図7の熱CVD装
置と同様に構成されているが、チャンバー31の天壁3
1aとチャンバー31の側壁31cとの間に絶縁部材4
3が設けられており、チャンバー31の天壁31aには
整合器44を介して高周波電源45が接続されている。
そして、この高周波電源45からシャワーヘッド35へ
例えば13.56MHzの高周波電力が供給され、シャ
ワーヘッド35は上部電極として機能するようになって
いる。
【0045】一方、セラミックスヒーター32の基体2
における発熱体3の上方にはプラズマ生成用の下部電極
23’が埋設されている。この下部電極23’には端子
22’を介して直流電源21’が接続されており、下部
電極23’は静電チャック用電極としても機能する。こ
のプラズマCVD装置110は、例えばTi膜を成膜す
る。この場合に、成膜ガス供給機構40は、例えばTi
Clガス供給源、H ガス供給源、希釈ガスとしての
Arガス供給源等を有している。なお、その他の構成に
ついては図7の熱CVD装置とほぼ同様であり、同じ符
号を付して説明を省略する。
【0046】このような装置により半導体ウエハWに所
定の薄膜を成膜するには、まず、チャンバー31内に半
導体ウエハWを装入し、セラミックスヒーター32の載
置面にウエハWを載置し、発熱体3により基体2を例え
ば500〜700℃程度に昇温してその上の半導体ウエ
ハWを所定温度に加熱しながら排気装置42によりチャ
ンバー31内を排気してチャンバー31内を真空状態に
し、上部電極として機能するシャワーヘッド35に高周
波電源45から高周波電力を供給し、シャワーヘッド3
5と電極23’との間に高周波電界を形成しつつ、成膜
ガス供給機構40からガス供給配管38を介して成膜ガ
スを導入して成膜ガスのプラズマを生成する。この際に
電極23’には直流電源21’から直流電圧が印加さ
れ、半導体ウエハWを基体2の表面に静電吸着する。た
だし、このように静電チャック機能を持たせる場合に
は、基体2の体積抵抗は温度とともに変化するため、基
体2の材料として加熱温度において静電吸着可能な抵抗
値を示すものを用いる。この場合に、流体流路4に所定
流量で所定の流体、例えばAr,He,Neから選択さ
れるガスを通流することにより温度制御性が高まり、基
体2は所望の均熱性を確保することが可能となる。
【0047】一方、プラズマCVDの際と同様に、所定
枚数の半導体ウエハを成膜後、チャンバー31から半導
体ウエハを搬出し、セラミックスヒーター32を150
〜500℃程度に冷却してチャンバー31内をin−s
uituクリーニングするが、上述したように、流体流
路4の断面積を大きくすることができ、比較的多量の流
体を通流させることができるので、セラミックスヒータ
ー32を短時間で150〜500℃まで冷却することが
できる。また、メンテナンス時等にチャンバー31内を
大気開放するに際してその中を室温付近まで低下させる
場合にも同様である。
【0048】図9は本発明のセラミックスヒーターを用
いたプラズマエッチング装置を示す断面図である。この
プラズマエッチング装置120は、概略的な構成は図8
のプラズマCVD装置と同様に構成されているが、供給
するガスとしてエッチングガスを用いるため、成膜ガス
供給機構40の代わりにエッチングガス供給機構50が
設けられている。エッチングガスはエッチングする膜に
よって異なるが、例えばCFガス等のフッ素含有ガス
が用いられ、エッチングガス供給機構50にはこのよう
なエッチングガスの供給源が設けられている。他の構成
はほぼ同じであるから同じ符号を付して説明を省略す
る。
【0049】このようなエッチング装置においても、セ
ラミックスヒーター32に埋設された発熱体3と流体流
路4を通流する流体により、基体2が所定温度に精度良
く制御され、半導体ウエハWが所定の温度に均一に保持
される。また、上述の例と同様、大気開放する際に、発
熱体3の下方位置に設けられた流体流路4に流体を流す
ことにより、速やかに基体2を冷却することができる。
なお、エッチング装置120において、シャワーヘッド
35のみならず、下部電極23’に高周波電力を供給し
てもよく、シャワーヘッド35の代わりに下部電極2
3’に高周波電力を供給してもよい。
【0050】なお、図7から図9の装置においては、発
熱体として図1に示したものを用いているが、図3,図
4に示した発熱体を用いてもよいことはいうまでもな
い。
【0051】なお、本発明は上記実施形態に限定される
ことなく種々変形可能である。例えば、上記実施形態に
おける流体流路の形状は例示であって、他の形状であっ
てもよいことはいうまでもない。また、上記実施形態で
挙げた発熱体は例示にすぎず、他のものであってもよ
く、発熱体のパターンは渦巻き状が一般的であるが、こ
れに限るものでもない。さらに、上記実施形態では本発
明のセラミックスヒーターを熱CVD、プラズマCV
D、およびプラズマエッチングに適用した場合について
説明したが、これに限らず、例えばアッシング等の他の
処理に適用することもできる。また、被処理基板は半導
体ウエハに限られるものではなく、他の基板であっても
よい。
【0052】
【発明の効果】以上説明したように、本発明によれば、
発熱体の下方位置に流体流路を設けているので、流体流
路の断面積を大きくしても加熱効率が低下することがな
い。したがって、流体流路の断面積を大きくすることに
より、そこに比較的多量の流体を通流させることがで
き、セラミックスヒーターを短時間で所定の温度まで冷
却することができ、CVD装置やエッチング装置等に用
いた場合に、装置のダウンタイムを短縮することができ
る。また、流体流路を発熱体の下方位置に設けても所望
の均熱性を確保することができる。
【図面の簡単な説明】
【図1】本発明の一実施形態に係るセラミックスヒータ
ーを模式的に示す垂直断面図。
【図2】図1のA−A線による水平断面図。
【図3】本発明の他の実施形態に係るセラミックスヒー
ターを示す断面図。
【図4】本発明のさらに他の実施形態に係るセラミック
スヒーターを示す断面図。
【図5】本発明のさらに他の実施形態に係るセラミック
スヒーターを示す断面図。
【図6】本発明のさらに他の実施形態に係るセラミック
スヒーターを示す断面図。
【図7】本発明の一実施形態に係るセラミックスヒータ
ーを用いた熱CVD装置を示す概略断面図。
【図8】本発明の一実施形態に係るセラミックスヒータ
ーを用いたプラズマCVD装置を示す概略断面図。
【図9】本発明の一実施形態に係るセラミックスヒータ
ーを用いたプラズマエッチング装置を示す概略断面図。
【符号の説明】
1,32;セラミックスヒーター 2;基体 2a;載置面 3,13,13’;発熱体 4;流体流路 20;静電チャック用電極 23;プラズマ電極 31;チャンバー 35;シャワーヘッド 40;成膜ガス供給機構 45;高周波電源 50;エッチングガス供給機構 100;熱CVD装置 110;プラズマCVD装置 120;プラズマエッチング装置 W;半導体ウエハ

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】 上面が基板の載置面であるセラミックス
    製の基体と、 前記基体に埋設された発熱体と、 前記基体の前記発熱体の下方位置に設けられた流体流路
    とを具備することを特徴とするセラミックスヒーター。
  2. 【請求項2】 前記流体流路は、複数の同心円状部分
    と、複数のこれら同心円状部分をつなぐ部分とを有する
    ことを特徴とする請求項1に記載のセラミックスヒータ
    ー。
  3. 【請求項3】 前記流体流路は、前記基体の中央部に流
    体入口を有し、前記基体の端部に流体出口を有すること
    を特徴とする請求項2に記載のセラミックスヒーター。
  4. 【請求項4】 前記流体流路には、Ar、He、Neか
    ら選択される少なくとも1種が通流されることを特徴と
    する請求項1から請求項3のいずれか1項に記載のセラ
    ミックスヒーター。
  5. 【請求項5】 前記流体流路には、ArとHeとの混合
    ガスが通流されることを特徴とする請求項4に記載のセ
    ラミックスヒーター。
  6. 【請求項6】 前記流体流路に通流される流体は、15
    0℃以上であることを特徴とする請求項1から請求項5
    のいずれか1項に記載のセラミックスヒーター。
  7. 【請求項7】 前記発熱体は、高融点金属を巻回してな
    る巻回体を所定パターンに配置されていることを特徴と
    する請求項1から請求項6に記載のセラミックスヒータ
    ー。
  8. 【請求項8】 前記発熱体は、所定パターンのグラファ
    イトまたはガラス状カーボンからなることを特徴とする
    請求項1から請求項6に記載のセラミックスヒーター。
  9. 【請求項9】 前記発熱体は、所定パターンのグラファ
    イトまたはガラス状カーボンにガラス状窒化ボロンを被
    覆してなることを特徴とする請求項8に記載のセラミッ
    クスヒーター。
  10. 【請求項10】 前記基体の上面近傍に電極を有し、電
    極に通電することにより基板を静電吸着可能なことを特
    徴とする請求項1から請求項9のいずれか1項に記載の
    セラミックスヒーター。
  11. 【請求項11】 基板が収容され内部が真空状態に保持
    可能なチャンバーと、 前記チャンバー内に配置され、基板を載置するとともに
    加熱するセラミックスヒーターと、 前記チャンバー内で基板に所定の処理を施すための処理
    手段とを具備し、 前記セラミックスヒーターは、 上面が基板の載置面であるセラミックス製の基体と、 前記基体に埋設された発熱体と、 前記基体の前記発熱体の下方位置に設けられた流体流路
    とを有することを特徴とする基板処理装置。
  12. 【請求項12】 前記処理手段は、チャンバー内に処理
    ガスを導入するガス導入機構を有し、前記処理ガスの反
    応により基板上に所定の膜を形成することを特徴とする
    請求項11に記載の基板処理装置。
  13. 【請求項13】 前記処理手段は、さらに処理ガスのプ
    ラズマを生成するプラズマ生成機構を有することを特徴
    とする請求項12に記載の基板処理装置。
  14. 【請求項14】 前記処理手段は、チャンバー内に処理
    ガスを導入するガス導入機構と、前記チャンバー内に前
    記処理ガスのプラズマを生成するプラズマ生成機構とを
    有し、前記処理ガスのプラズマにより基板上の所定の膜
    をエッチングすることを特徴とする請求項11に記載の
    基板処理装置。
  15. 【請求項15】 前記セラミックスヒーターの基体の上
    面近傍に電極を有し、電極に通電することにより基板を
    静電吸着可能なことを特徴とする請求項11から請求項
    14のいずれか1項に記載の基板処理装置。
JP34191699A 1999-12-01 1999-12-01 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法 Expired - Fee Related JP4209057B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP34191699A JP4209057B2 (ja) 1999-12-01 1999-12-01 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US09/722,485 US6951587B1 (en) 1999-12-01 2000-11-28 Ceramic heater system and substrate processing apparatus having the same installed therein

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP34191699A JP4209057B2 (ja) 1999-12-01 1999-12-01 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法

Publications (3)

Publication Number Publication Date
JP2001160479A true JP2001160479A (ja) 2001-06-12
JP2001160479A5 JP2001160479A5 (ja) 2007-02-01
JP4209057B2 JP4209057B2 (ja) 2009-01-14

Family

ID=18349751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP34191699A Expired - Fee Related JP4209057B2 (ja) 1999-12-01 1999-12-01 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法

Country Status (2)

Country Link
US (1) US6951587B1 (ja)
JP (1) JP4209057B2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006080491A (ja) * 2004-07-16 2006-03-23 Applied Materials Inc 化学気相成長用の加熱基板支持
JP2006295138A (ja) * 2005-03-16 2006-10-26 Tokyo Electron Ltd 基板加熱機能を有する基板載置機構および基板処理装置
WO2006135007A1 (ja) * 2005-06-15 2006-12-21 Tokyo Electron Limited 成膜およびクリーニング方法
CN100350207C (zh) * 2002-04-10 2007-11-21 光洋热系统株式会社 加热装置
US7299566B2 (en) 2005-03-16 2007-11-27 Tokyo Electron Limited Substrate-placing mechanism having substrate-heating function
WO2008038477A1 (fr) * 2006-09-28 2008-04-03 Covalent Materials Corporation Chauffage planaire et appareil de traitement thermique de semi-conducteurs comportant le chauffage
JP2009049061A (ja) * 2007-08-14 2009-03-05 Sumitomo Electric Ind Ltd 半導体ウエハ処理装置及び半導体ウエハ処理方法
WO2009113451A1 (ja) * 2008-03-11 2009-09-17 東京エレクトロン株式会社 載置台構造及び処理装置
KR101287225B1 (ko) * 2007-09-11 2013-07-17 도쿄엘렉트론가부시키가이샤 기판 탑재 기구, 기판 처리 장치, 기판 탑재 기구상으로의 막 퇴적 억제 방법 및 기억 매체
JP2016069197A (ja) * 2014-09-26 2016-05-09 京セラ株式会社 流路部材
KR101907246B1 (ko) * 2015-05-27 2018-12-07 세메스 주식회사 웨이퍼 지지용 척 구조물
KR102199738B1 (ko) * 2020-04-24 2021-01-08 (주)코리아스타텍 건식 식각장비용 직냉식 정전척
US10941477B2 (en) 2013-01-24 2021-03-09 Tokyo Electron Limited Substrate processing apparatus and susceptor

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP2002327275A (ja) * 2001-05-02 2002-11-15 Tokyo Electron Ltd 真空処理方法及び真空処理装置
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP4376070B2 (ja) * 2004-01-14 2009-12-02 日本碍子株式会社 加熱装置
US20050173253A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Method and apparatus for infilm defect reduction for electrochemical copper deposition
DE102004055449B4 (de) * 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
WO2006095575A1 (ja) * 2005-03-07 2006-09-14 Sharp Kabushiki Kaisha プラズマ処理装置およびそれを用いた半導体薄膜の製造方法
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
DE102006038925A1 (de) * 2006-08-18 2008-02-21 Forschungszentrum Jülich GmbH Vorrichtung zum Heizen einer Probe
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US8034181B2 (en) * 2007-02-28 2011-10-11 Hitachi High-Technologies Corporation Plasma processing apparatus
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
JP5171584B2 (ja) * 2008-03-26 2013-03-27 株式会社日立国際電気 基板処理装置の基板載置台、基板処理装置及び半導体デバイスの製造方法
US8294068B2 (en) * 2008-09-10 2012-10-23 Applied Materials, Inc. Rapid thermal processing lamphead with improved cooling
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
EP2857786B1 (en) * 2012-05-30 2020-12-23 Kyocera Corporation Flow path member, and heat exchanger and semiconductor manufacturing apparatus using same
JP6092857B2 (ja) * 2012-05-30 2017-03-08 京セラ株式会社 流路部材ならびにこれを用いた吸着装置および冷却装置
US8901518B2 (en) * 2012-07-26 2014-12-02 Applied Materials, Inc. Chambers with improved cooling devices
US20150153116A1 (en) * 2012-07-27 2015-06-04 Kyocera Corporation Flow path member, and heat exchanger and semiconductor manufacturing device using same
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014116392A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Electrostatic chuck with concentric cooling base
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102112368B1 (ko) * 2013-02-28 2020-05-18 도쿄엘렉트론가부시키가이샤 탑재대 및 플라즈마 처리 장치
JP6173936B2 (ja) * 2013-02-28 2017-08-02 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE102014200060A1 (de) * 2013-08-28 2015-03-19 Micro-Epsilon Messtechnik Gmbh & Co. Kg Sensorelement und Sensor mit einem entsprechenden Sensorelement
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9960060B2 (en) * 2014-10-10 2018-05-01 Varian Semiconductor Equipment Associates, Inc. Platen assembly
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20170140956A1 (en) * 2015-11-13 2017-05-18 Varian Semiconductor Equipment Associates, Inc. Single Piece Ceramic Platen
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6183567B1 (ja) * 2016-05-13 2017-08-23 Toto株式会社 静電チャック
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10612122B2 (en) * 2017-08-25 2020-04-07 Vladimir E. Belashchenko Plasma device and method for delivery of plasma and spray material at extended locations from an anode arc root attachment
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7101024B2 (ja) * 2018-04-03 2022-07-14 東京エレクトロン株式会社 温調システム
US10633742B2 (en) * 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10872747B2 (en) 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113130279B (zh) * 2019-12-30 2023-09-29 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
RU201717U1 (ru) * 2020-03-24 2020-12-29 Екатерина Вячеславовна Ендиярова Подложкодержатель для плазмохимического травления в низкотемпературной индуктивно-связанной плазме
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102572569B1 (ko) * 2021-07-02 2023-08-29 광운대학교 산학협력단 열전달 구조물을 이용한 기판 처리 장치 및 온도 제어 방법
KR102572570B1 (ko) * 2021-07-02 2023-08-29 광운대학교 산학협력단 멀티존 열전달 구조물을 이용한 기판 처리 장치 및 온도 제어 방법
KR102507875B1 (ko) * 2021-08-27 2023-03-09 주식회사 동탄이엔지 정전척 및 정전척 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07272834A (ja) * 1994-03-30 1995-10-20 Ngk Insulators Ltd セラミックスヒータ及びその製造方法
JPH08315965A (ja) * 1994-09-29 1996-11-29 Tokyo Electron Ltd 加熱装置及びその製造方法、並びに処理装置
JPH09162272A (ja) * 1995-12-04 1997-06-20 Sony Corp 静電チャック、薄板保持装置及び半導体製造装置並びに搬送方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3911386A (en) * 1975-01-15 1975-10-07 Ford Motor Co Exhaust gas air fuel ratio sensor
US4622687A (en) * 1981-04-02 1986-11-11 Arthur H. Iversen Liquid cooled anode x-ray tubes
JPS63276225A (ja) 1987-05-08 1988-11-14 Tokyo Electron Ltd アッシング装置
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
EP1120817B8 (en) 1991-03-26 2007-10-10 Ngk Insulators, Ltd. Use of a corrosion-resistant member
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JP3297771B2 (ja) * 1993-11-05 2002-07-02 ソニー株式会社 半導体製造装置
US5415225A (en) * 1993-12-15 1995-05-16 Olin Corporation Heat exchange tube with embossed enhancement
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JPH07307377A (ja) * 1993-12-27 1995-11-21 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
US5745331A (en) * 1994-01-31 1998-04-28 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07335630A (ja) * 1994-06-13 1995-12-22 Hitachi Ltd 真空処理装置
JPH0817747A (ja) 1994-06-24 1996-01-19 Tokyo Electron Ltd 処理方法及び処理装置
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5595241A (en) 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JPH09172001A (ja) * 1995-12-15 1997-06-30 Sony Corp 半導体製造装置の温度制御方法および装置
JPH09219439A (ja) 1996-02-13 1997-08-19 Kobe Steel Ltd 基板処理装置
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5880924A (en) * 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07272834A (ja) * 1994-03-30 1995-10-20 Ngk Insulators Ltd セラミックスヒータ及びその製造方法
JPH08315965A (ja) * 1994-09-29 1996-11-29 Tokyo Electron Ltd 加熱装置及びその製造方法、並びに処理装置
JPH09162272A (ja) * 1995-12-04 1997-06-20 Sony Corp 静電チャック、薄板保持装置及び半導体製造装置並びに搬送方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100350207C (zh) * 2002-04-10 2007-11-21 光洋热系统株式会社 加热装置
JP2006080491A (ja) * 2004-07-16 2006-03-23 Applied Materials Inc 化学気相成長用の加熱基板支持
JP2006295138A (ja) * 2005-03-16 2006-10-26 Tokyo Electron Ltd 基板加熱機能を有する基板載置機構および基板処理装置
US7299566B2 (en) 2005-03-16 2007-11-27 Tokyo Electron Limited Substrate-placing mechanism having substrate-heating function
JP4588653B2 (ja) * 2005-03-16 2010-12-01 東京エレクトロン株式会社 基板加熱機能を有する基板載置機構および基板処理装置
WO2006135007A1 (ja) * 2005-06-15 2006-12-21 Tokyo Electron Limited 成膜およびクリーニング方法
JP2006351814A (ja) * 2005-06-15 2006-12-28 Tokyo Electron Ltd クリーニング方法、コンピュータプログラム及び成膜装置
CN101517706B (zh) * 2006-09-28 2012-05-23 科发伦材料株式会社 面状加热器及具有此面状加热器的半导体热处理装置
WO2008038477A1 (fr) * 2006-09-28 2008-04-03 Covalent Materials Corporation Chauffage planaire et appareil de traitement thermique de semi-conducteurs comportant le chauffage
JP2009049061A (ja) * 2007-08-14 2009-03-05 Sumitomo Electric Ind Ltd 半導体ウエハ処理装置及び半導体ウエハ処理方法
US9202728B2 (en) 2007-09-11 2015-12-01 Tokyo Electron Limited Substrate mounting mechanism, and substrate processing apparatus
KR101287225B1 (ko) * 2007-09-11 2013-07-17 도쿄엘렉트론가부시키가이샤 기판 탑재 기구, 기판 처리 장치, 기판 탑재 기구상으로의 막 퇴적 억제 방법 및 기억 매체
WO2009113451A1 (ja) * 2008-03-11 2009-09-17 東京エレクトロン株式会社 載置台構造及び処理装置
US10941477B2 (en) 2013-01-24 2021-03-09 Tokyo Electron Limited Substrate processing apparatus and susceptor
JP2016069197A (ja) * 2014-09-26 2016-05-09 京セラ株式会社 流路部材
KR101907246B1 (ko) * 2015-05-27 2018-12-07 세메스 주식회사 웨이퍼 지지용 척 구조물
KR102199738B1 (ko) * 2020-04-24 2021-01-08 (주)코리아스타텍 건식 식각장비용 직냉식 정전척

Also Published As

Publication number Publication date
US6951587B1 (en) 2005-10-04
JP4209057B2 (ja) 2009-01-14

Similar Documents

Publication Publication Date Title
JP4209057B2 (ja) セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US20240112893A1 (en) Multi-plate electrostatic chucks with ceramic baseplates
JP7271443B2 (ja) 半導体処理で使用するための静電チャック
TWI702685B (zh) 極端均勻加熱基板支撐組件
JP4067858B2 (ja) Ald成膜装置およびald成膜方法
CN108987229B (zh) 高温衬底基座模块及其组件
US6080970A (en) Wafer heating apparatus
US5886864A (en) Substrate support member for uniform heating of a substrate
KR101118863B1 (ko) 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
JP2007317772A (ja) 静電チャック装置
KR20030041084A (ko) 가열 장치
JP2001102435A (ja) 載置台構造及び処理装置
JP2001237051A (ja) 筒状体を有するセラミックヒーター及びこれを用いた加熱装置
JP2005510869A (ja) 加熱真空支持装置
US20090277388A1 (en) Heater with detachable shaft
JP3446772B2 (ja) 載置台および減圧処理装置
TW202136543A (zh) 電漿腔室用低溫燒結塗層
JPH05275385A (ja) プラズマ処理装置
JP2002025912A (ja) 半導体製造装置用サセプタとそれを用いた半導体製造装置
JPH07273175A (ja) 保持装置
JPH11162620A (ja) セラミックヒーター及びその均熱化方法
CN112185791A (zh) 喷头单元及具有该喷头单元的基板处理系统
JP2002025913A (ja) 半導体製造装置用サセプタとそれを用いた半導体製造装置
US20210305017A1 (en) Inductively coupled plasma chamber heater for controlling dielectric window temperature
WO2023200465A1 (en) Showerhead assembly with heated showerhead

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061130

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080819

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081021

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081022

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141031

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees