CN105603376A - 由液体控制的多区基片支座改进的基片温度控制 - Google Patents

由液体控制的多区基片支座改进的基片温度控制 Download PDF

Info

Publication number
CN105603376A
CN105603376A CN201610088237.9A CN201610088237A CN105603376A CN 105603376 A CN105603376 A CN 105603376A CN 201610088237 A CN201610088237 A CN 201610088237A CN 105603376 A CN105603376 A CN 105603376A
Authority
CN
China
Prior art keywords
subregion
runner
communicated
valve
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610088237.9A
Other languages
English (en)
Inventor
哈梅特·辛格
基思·科门丹特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105603376A publication Critical patent/CN105603376A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3471Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

提供了一种应用于等离子体处理设备的反应室内的基片支座。该基片支座包括底部元件和覆于该底部元件上的热传递元件。该热传递元件具有多个分区以单独地加热和冷却该热传递元件的每一个分区。该热传递元件上覆盖有静电卡盘。该静电卡盘具有用于在该等离子体处理设备的反应室内支撑基片的支撑面。冷液体源和热液体源与每一个分区内的流道流体连通。阀装置通过调整流通于流道中的热液体相对于冷液体的混合比来独立地控制液体的温度。在另一种具体实施方式中,沿供应管路和传输管路设置的加热元件对来自于液体源的液体在其流通进入流道之前进行加热。

Description

由液体控制的多区基片支座改进的基片温度控制
本申请是申请号为200980142963.2、申请日为2009年11月3日、发明名称为“由液体控制的多区基片支座改进的基片温度控制”的发明专利申请的分案申请。
背景技术
通过蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、离子移植、防蚀涂层移除技术,等离子体处理设备应用于处理基片中。应用于等离子体处理中的一种类型的等离子体处理设备,包括下电极和顶部封闭的反应室。电极之间产生电场将工艺气体激活为等离子状态用以处理反应室中的基片。由于特征尺寸的缩小和新材料的应用,需要改进等离子体处理设备以控制等离子体处理的条件。
发明内容
在一种具体实施方式中,提供了一种用于等离子体处理设备的反应室内的基片支座。该基片支座包括底部元件和覆盖于该底部元件上的热传递元件。该热传递元件具有多个分区,至少包括内设第一流道的第一分区和内设第二流道的第二分区,通过流道液体能够流通以单独地加热和冷却该热传递元件的第一分区和第二分区。静电卡盘覆于该热传递元件之上,该静电卡盘具有用于在等离子体处理设备的反应室内支撑基片的支撑面。冷液体源和热液体源流体连通于第一流道和第二流道。阀装置通过调整流通于第一流道和第二流道中的热液体相对于冷液体的混合比,来独立地控制第一分区和第二分区中的液体的温度。控制器通过控制阀装置来调整第一流道和第二流道中的热液体相对于冷液体的混合比,以独立地控制第一分区和第二分区中的温度。
在另一种具体实施方式中,提供了一种等离子体处理过程中控制半导体基片温度的方法。如前所述,基片支撑于基片支座上,并且与该多个分区热接触。在这种方法中,液体流经第一流道和第二流道,测量第一分区的温度,并且流经第一流道的液体的温度为:(a)如果第一分区的温度低于目标温度,则通过增加热液体相对于冷液体的混合比来提高;或者(b)如果第一分区的温度高于目标温度,则通过减少热液体相对于冷液体的混合比来降低。同样地,测量第二分区的温度,并且流经第二流道的液体的温度为:(a)如果第二分区的温度低于目标温度,则通过增加热液体相对于冷液体的混合比来提高;或者(b)如果第二分区的温度高于目标温度,则通过减小热液体相对于冷液体的混合比来降低。优选地,第一分区的方位温度差小于5℃。
在另一种具体实施方式中,提供了一种应用于等离子体处理设备的反应室内的基片支座。该基片支座包括底部元件和覆盖于该底部元件上的热传递元件。该热传递元件具有设有第一流道的第一分区和设有第二流道的第二分区。该流道适用于流通流体,以单独地加热和冷却该热传递元件的每一个分区。第一共用管路与该第一流道流体连通,第二共用管路与该第二流道流体连通。第一阀与该第一共用管路和连通于热液体源的第一供应管路流体连通。该第一阀用于控制来自于该热液体源的热液体通过该第一共用管路的流量。第二阀与该第一共用管路和连通于冷液体源的第二供应管路流体连通。该第二阀用于控制来自于该冷液体源的冷液体通过该第一共用管路的流量。第三阀与该第二共用管路和连通于该热液体源的该第一供应管路流体连通。该第三阀用于控制该热液体通过该第二共用管路的流量。第四阀与该第二共用管路和连通于该冷液体源的该第二供应管路流体连通。该第四阀用于控制该冷液体流经该第二共用管路的流量的总量。控制器用于独立地控制该第一阀和该第二阀以使该热液体相对于该冷液体的第一混合比适应于该第一流道;并且控制该第三阀和该第四阀以使该热液体相对于该冷液体的第二混合比适应于该第二流道。该热传递元件上覆盖有静电卡盘。该静电卡盘具有用于在该等离子体处理设备的反应室内支撑基片的支撑面。
在另一种具体实施方式中,提供了一种应用于等离子体处理设备的反应室内的基片支座。该基片支座包括底部元件和覆于该底部元件上的热传递元件。该热传递元件具有内设第一流道的第一分区和内设第二流道的第二分区。流道用于流通液体,以单独地加热和冷却该热传递元件的每一个分区。供应管路与该第一流道和液体源流体连通。第一加热元件沿该供应管路设置。该第一加热元件用于将来自于该液体源的液体在流通进入该第一流道之前加热到第一温度。第一流道和第二流道流体连通于第一传输管路。该第一传输管路用于使液体从该第一流道流动到该第二流道。第二加热元件沿该第一传输管路设置。该第二加热元件用于将液体在流通入该第二流道前加热到第二温度。控制器通过调整每一个加热元件的功率控制每一个加热元件以独立地控制每一个分区的温度。该热传递元件上覆盖有静电卡盘。该静电卡盘设有支撑面以在等离子体处理设备的反应室内支撑基片。
附图说明
图1为等离子体处理设备的一种典型的具体实施方式的剖视图。
图2为一种电感耦合等离子体处理设备的剖视图。
图3为基片支座的一种具体实施方式的剖视图。
图4为包括延伸通过热传递元件的部分层厚的隔热层的基片支座的一种附加的具体实施方式的剖视图。
图5为不包括隔热层的基片支座的一种附加的具体实施方式的剖视图。
图6为图3所示的基片沿剖切线C-C'剖切后的剖视图。
图7为热传递元件的一种具体实施方式的局部剖视图,包括冷液体源,热液体源,阀装置和控制器。
图8A为热传递元件的另一种具体实施方式的局部剖视图,包括冷液体源,热液体源、阀装置和控制器。
图8B为图8A所示的热传递元件的具体实施方式的局部剖视图,包括与冷液体源和/或热液体源连通的回流管路。
图9为热传递元件的另一种具体实施方式的局部剖视图,包括液体源,加热元件和传输管路。
图10阐释了等离子体处理中半导体基片的三种典型的中心到边缘的温度分布。
具体实施方式
为了提高等离子体处理设备中基片的等离子体处理过程的均匀性,需要在发生材料沉积和/或蚀刻的基片的暴露表面控制温度分布。在等离子体蚀刻过程中,基片温度和/或基片暴露表面的化学反应比率的改变可能导致基片蚀刻比率以及蚀刻选择性和各向异性发生不良变化。在材料沉积过程比如CVD处理中,沉积过程中的基片的温度能够对沉积于基片上的材料的沉积速率、构成和性能产生显著的影响。
图1示出了一种典型的用于蚀刻的半导体材料等离子体处理设备100。等离子体处理设备100包括反应室102,该反应室102包括基片支座104,在等离子体处理过程中基片106支撑于该基片支座104上。反应室102内部的用于支撑基片106的基片支座104可以包括固定装置,优选为静电卡盘,在处理过程中该固定装置用于固定基片支座104上的基片106。
图1所示的典型的等离子体处理设备100包括喷淋电极装置,该喷淋电极装置具有形成反应室102室壁的顶板108和附着于该顶板108的喷淋电极110。气体供应112通过喷淋电极110向反应室102的内部提供工艺气体。喷淋电极110包括多个气体通道114,气体通道114延伸穿过喷淋电极110的层厚将工艺气体注入于等离子体反应室102中的位于喷淋电极110和基片支座104之间的空间中。气体供应112可以包括内部供应管路和外部供应管路,在设置双重分区气体供应的情况下供应喷淋电极110的中心区域和外部区域。
工艺气体流经喷淋电极110并且进入反应室102的内部。然后,通过能源116A比如驱动喷淋电极110的射频(RF)源,和/或能源116B在一个或一个以上从约0.3MHZ到约600MHZ(例如,2MHZ,13.56MHZ,60MHZ)的频率下,驱动基片支座104中的频率为一个或一个以上从约0.3MHZ到约600MHZ(例如,2MHZ,13.56MHZ,60MHZ)的电极,将等离子体处理设备中的工艺气体激发为等离子体状态。用于喷淋电极110的射频(RF)功率能够改变以执行不同的工序,比如当不同的气体组分提供于该等离子体处理设备100中的情况。在另一种具体实施方式中,喷淋电极110能够接地。
在一种具体实施方式中,通过将射频(RF)能量从两个射频(RF)源提供到喷淋电极110和/或基片支座104能够在等离子体处理设备100内部产生等离子体;或者,喷淋电极110能够电接地并且处于单一频率或多重频率的射频(RF)能量能够提供给基片支座104。
在另一种具体实施方式中,如图2中所阐释的,通过在低压下(例如低于100微米汞柱)将工艺气体输入压力室并且将射频能量应用于该气体,电感耦合等离子体(ICP)处理设备200能够用于基片上材料的沉积(例如等离子体增强的化学气相沉积或者PECVD)和等离子体蚀刻。图2为一种电感耦合等离子体(ICP)处理设备200的一种具体实施方式的剖视图。加利福尼亚菲蒙(Fremont)市的朗姆研究公司制造的蚀刻或沉积系统为电感耦合等离子体处理室的一个例子。该电感耦合等离子体处理设备在例如专利号为4,948,458的共有美国专利中也有描述,其被完整并入作为参考。反应室202包括基片支座204,该基片支座204用于在该反应室202的内部支撑基片206。电介质窗208形成反应室202的顶壁。工艺气体通过气体分配元件210被注入反应室202的内部。例如,气体分配元件210包括淋喷头,气体注射器或者其它适当的装置。气体供应212通过气体分配元件210将工艺气体供应到反应室202的内部。
一旦工艺气体被引入反应室202的内部,它们即通过能量源216向反应室202的内部提供能量而被激发为等离子体状态。优选地,该能量源216是由射频(RF)源218A和射频(RF)阻抗匹配电路218B驱动以将射频(RF)能量电感耦合进反应室202中的外部二维天线,通过射频(RF)能量应用于二维天线而产生的电磁场激发工艺气体在基片206上方形成高密度的等离子体P(例如,(1010-1012离子/立方厘米)。
电介质窗208位于二维天线之下,并且气体分配元件210位于电介质窗208的下方。等离子体P产生于气体分配元件210和基片206之间的区域,以用于沉积或者蚀刻基片206。
在基片的等离子体处理过程中,等离子体气体的反应离子与半导体基片(例如,硅晶片、砷化镓晶片或磷化铟晶片)表面上的材料的一部分进行化学反应,导致基片中心部分和边缘部分的温度差达到50℃。基片上每一点的局部基片温度和化学反应比率是相互关联的,如果通过基片表面的温度变化过大就会导致附于基片表面上的材料的不均匀蚀刻或沉积。为减轻这种状况,采用背部气体冷却系统应用于基片支座,以在基片支座和支撑于其上的基片之间提供热传递。
基片支座已包括冷却剂流道来带走处理过程中基片的热量。在这种冷却系统中,处于可控温度和一定容积流率的冷却剂被引入到冷却流道中。在冷却系统中,基片支座已经包括一个供应管路和一个回流管路。然而,可以断定的是当热量从基片支座转移,沿着通道长度从入口到出口显现出显著的温度梯度。结果,与热传递气体和基片接触的基片支座的表面的温度均匀性就不可控制。基片固定装置还在基片的背面提供了散热器。在现有的等离子体处理设备中,从基片到基片固定装置的热传递导致整个基片上温度的不均匀性。
对于临界尺寸均匀性的控制而言,将晶片或基片的从中心到边缘的温度分布(即径向温度分布)改变达40℃,而又保持方位(例如角或圆周)温度均匀度小于等于5℃的能力是必不可少的。一些等离子体处理工序需要径向温度分布控制用于优化流程以弥补由于其他因素(例如,由基片上的放射型布置所带来的刻蚀副产品浓度变化)所导致的不均匀性。例如,在一摞薄膜或多层结构(例如,栅氧化层/多晶硅/硅化物/硬掩膜/抗反射涂层)的刻蚀过程中,一个层的刻蚀可能需要中心地区比边缘地区热,然而另一个层的刻蚀可能需要中心地区比边缘地区冷。这样,就需要基片支座有能力实现小于等于5℃的方位温度均匀度,有能力将晶片或基片的从中心到边缘的温度分布改变达40℃。优选地,方位温度均匀度小于等于1℃;并且更优选地,方位温度均匀度小于等于0.5℃。
图3示出了基片支座300的一种具体实施方式的剖视图。基片326提供了更有效的控制中心对边缘的温度分布的能力,它能够逐步改变中心到边缘的温度分布直至达到40℃,而保持方位温度均匀度小于等于1℃。基片支座300包括底部元件310,叠加于底部元件310上的热传递元件320和叠加于热传递元件320上的静电卡盘322。静电卡盘322包括用于支撑基片326的支撑面324。在共有美国专利5,838,529中也存在对这样的静电卡盘的描述,其被完整引入作为参考。
热传递元件320进一步地细分为同中心的分区328A-328E。每一个分区包含一个或一个以上流道330A-330E,通过流道330A-330E液体能够流通以单独地加热和冷却热传递元件320的每一个分区328A-328E。基片支座300的加热是通过将热液体循环流经流道330A-330E来实现的,从而消除了对置于热传递元件320中的加热元件(例如电阻加热器或加热带)的需求。该液体可能是水(例如,去离子水),乙二醇,硅油,水/乙二醇混合物,制冷剂(例如,全氟化碳冷却流体,可以从(MinnesotaMiningandManufaturing(3M)公司获得),流体(例如,低分子量全氟聚醚热传递流体,可以从SolvaySolexis获得)以及其他类似流体。尽管图3中示出了五个分区,可以理解分区的数量可以是两个或者其它数量,这取决于所需要的温度控制的程度。
在图3所示的具体实施方式中,热传递元件320可由热传导材料组成,比如铝或氮化铝。为了提高对径向热传递的控制(比如,各单独分区间的热传递)以及达到理想基片温度分布,隔热层332将每一个分区328A-328E隔开。隔热层332可以或者延伸通过热传递元件320(如图3所示)的整个层厚或者如图4中所示的那样,通过热传递元件320的一部分层厚。隔热层332可以或者为未填充的(例如,一个空的空间)或者容纳填充材料以实现从约0.1W/m-K到约4.0W/m-K的导热系数。示例性的填充材料包括环氧基树脂或硅树脂。填充材料的导热系数可以用添加剂调整,比如氮化硼,氮化铝,氧化铝,氧化硅和硅。
在另一种具体实施方式中,如图5所示,径向热传递通过由隔热材料组成热传递元件320来得到控制。隔热材料的实例包括陶瓷诸如氧化铝或者氧化钇;或者具有低导热系数的金属合金,诸如不锈钢。
如图3所示,粘着材料334能够插入热传递元件320和底部元件310之间。粘着材料334可以由环氧基树脂或者硅树脂组成,如放大部位A所示,粘着材料334可以用一种或者一种以上填充材料334A进行填充。典型的填充材料334A可以包括氧化铝,氮化硼,氧化硅,铝或者硅。在另一种具体实施方式中,如放大部位B所示,粘着材料可以为金属钎接334B。可以选择提供从约0.1W/m-K到约4W/m-K的导热系数并且具有从约1密耳到约200密耳的厚度的粘接材料334。
图6示出了热传递元件320为圆形盘的剖切俯视图,其沿图3中的剖切线C-C'横切所得。图6中,分区328A-328E被同心设置在相对于圆形盘的中心的不同距离并且流道330A-330E具有象螺旋形一样的式样。隔热层332为分隔每一分区的环形通道。
图7示出了热传递元件320的局部横断面视图,包括热液体源336和冷液体源338,此两液体源均与流道330A-330E流体连通。阀装置340通过调整热液体(来自于热液体源336)相对于冷液体(来自于冷液体源338)的混合比来控制每一个分区328A-328E的个别温度。控制器342接收来自于每一个分区328A-328E内的温度传感器344A-344E的输入信号,独立地指挥阀装置340以调整热液体相对于冷液体的适当的混合比。在另一种具体实施方式中,每一个分区328A-328E的温度传感器可以设置于静电卡盘322之中。
在等离子体处理过程中,基片326支撑于基片支座300上,基片326与分区328A-328E热接触。液体流经与分区328A-328E相对应的流道330A-330E。每一个单独分区328A-328E的温度用温度传感器344A-344E进行测量,温度传感器344A-344E向控制器342提供输入信号。控制器342可以或者:(i)如果分区328A-328E的温度低于目标温度,通过增加热液体相对于冷液体的混合比来提高流经每一个个别的流道330A-330E的液体温度;或者(ii)如果分区328A-328E的温度高于目标温度,通过减少热液体相对于冷液体的混合比来降低流经每一个个别的流道330A-330E的液体温度。在等离子体处理过程中,设置有热传递元件320和控制器342的基片支座300能够独立地和动态地改变单晶片等离子体处理过程中分区328A-328E的温度。
图8A示出了热传递元件420的另一种具体实施方式的局部剖视图,包括分区428A-428E,每一分区具有各自的流道430A-430E和各自的温度传感器444A-444E。分区428A-428E被隔热层432隔开。热液体源436和冷液体源438经由共用管路450A-450E,阀452A-452E',第一供应管路454和第二供应管路456来与流道430A-430E流体连通。第一至第五阀452A-452E与共用管路450A-450E和第一供应管路454流体连通,以从热液体源436提供热液体。此外,第六至第十阀452A'-452E'与共用管路450A-450E和第二供应管路456也为流体连通,以从冷液体源438提供冷液体。
控制器442从温度传感器444A-444E接收输入信号来独立地控制阀452A-452E和阀452A'-452E'以单独地调整每一流道内来自热液体源436的热液体相对于来自冷液体源438的冷液体的混合比。例如,控制器442可以控制:(i)第一阀452A和第二阀452A'以调整经由共用管路450A流往流道430A的热液体相对于冷液体的第一混合比;(ii)第三阀452B和第四阀452B'以调整经由共用管路450B流往流道430B的热液体相对于冷液体的第二混合比;(iii)第五阀452C和第六阀452C'以调整经由共用管路450C流往流道430C的热液体相对于冷液体的第三混合比;(iv)第七阀452D和第八阀452D'以调整经由共用管路450D流往流道430D的热液体相对于冷液体的第四混合比;和(v)第九阀452E和第十阀452E'以调整经由共用管路450E流往流道430E的热液体相对于冷液体的第五混合比。
图8A的实施方式提供了这样的能力:通过控制每一个单独分区428A-428E的温度,单调地(monotonically)(例如温度连续地升高或降低)或非单调地升高或降低等离子体处理过程中沿基片426半径的温度。例如,每一个单独分区428A-428E的温度可以设置以满足径向温度分布为抛物线的或逆抛物线的(即,单调的)。然而,由于每一个分区428A-428E的温度能够单独地控制,在另一个实施例中,径向温度分布也可以这样设置,即径向温度分布为正弦曲线(即,非单调的)。
如图8B所示,流道430A-430E与回流管路446为流体连通,回流管路446与热液体源436和/或冷液体源438为流体连通。这样,退出流道430A-430E的液体可以通过回流至热液体源436和/或冷液体源438而再循环利用。
热液体源436保持热液体的温度处于从约40℃到约150℃;冷液体源438能够保持冷液体的温度处于从约-10℃到约70℃。这样,图8A和图8B的具体实施方式就具有这样的性能:依据等离子体处理过程中想获得的中心到边缘的温度分布,使每一个分区428A-428E达到五个不同的温度。尽管图8A和图8B示出了五个分区,可以理解的是,根据径向温度分布的需要控制程度,分区可为两个或者两个以上。在一个实施例中,冷液体源保持冷液体的温度大于等于-10℃;并且热液体源保持热液体的温度小于等于150℃,且热液体的温度大于冷液体的温度。
图9示出了热传递元件520的另一种具体实施方式的局部剖视图,包括分区528A-528E,每一分区具有各自的流道530A-530E和各自的温度传感器544A-544E。分区528A-528E通过隔热层532隔开。液体源536流体连通于供应管路550,第一至第四传输管路552A-552D和回流管路554。第一加热元件538A沿供应管路550设置,并且第二至第五加热元件538B-538E沿第一至第四传输管路552A-552D设置。第一至第五加热元件538A-538E控制流经供应管路550和第一至第四传输管路552A-552D的液体的温度。
控制器542从温度传感器544A-554E接收输入信号来独立地控制加热元件538A-538E。如果温度传感器544A-544E测定的温度低于目标温度,则控制器542激活一个或一个以上相应的加热元件538A-538E。在从液体源536流出的液体流入第一流道530A之前,第一加热元件538A将该液体加热到第一温度。第一传输管路552A将液体从第一流道530A传输至第二流道530B;并且第二加热元件538B将沿第一传输管路552A流动的液体在流入第二流道530B之前加热到第二温度。第二传输管路552B将液体从第二流道530B传输至第三流道530C;并且第三加热元件538C将沿第二传输管路552B流动的液体在流入第三流道530C之前加热至第三温度。第三传输管路552C将液体从第三流道530C传输至第四流道530D;并且第四加热元件538D将沿第三传输管路552C流动的液体在流入第四流道530D之前加热至第四温度。第四传输管路552D将液体从第四流道530D传输至第五流道530E;并且第五加热元件538E将沿第四传输管路552D流动的液体在其流入第五流道530E之前加热至第五温度。从第五流道退出的液体沿回流管路554回流至液体源536。
流经第一至第四传输管路552A-552D的液体可以或者沿正向流动(如图9中箭头所示)或者沿反向流动(图9中未示出)。当液体沿正向流动时,第一温度低于第二温度,第二温度低于第三温度,第三温度低于第四温度,最高温度产生于分区528E(即中心区域)。同样地,当液体沿反向流动时,第一温度高于第二温度,第二温度高于第三温度,第三温度高于第四温度,最高温度产生于分区528A(即,边缘区域)。
图9的实施方式提供了这样的能力:在等离子体处理过程中沿基片326的半径单调地提高或降低温度。例如,每一个单独分区528A-528E的温度可以这样设置,即径向温度分布是抛物线的或者反抛物线的(即,单调的)
在等离子体处理过程中(例如,半导体、金属或者电介质的等离子体刻蚀;或者传导性材料或介质材料的沉积)设置有热传递元件320/420/520的基片支座300能够使中心到边缘的径向温度分布变化达到40℃,而保持方位温度均匀度小于等于1℃,更优选地小于等于0.5℃。进一步地,这种热传递元件320/420/520能够实现以下任一项:(1)使温度分布均匀;或者(2)径向地改变温度分布(例如,热边缘或者热中心),此两项均用于等离子体处理过程中逐步改变的温度控制,以使最佳的多层式处理成为可能。图10图示了在应用热传递元件320/420/520的等离子体处理中,半径为R的晶片上三种曲型的中心到边缘的温度分布中径向位置和径向温度的函数关系:(A)中心区域热于边缘区域;(B)中心区域冷于边缘区域;和(C)整个晶片上完全均匀的温度分布。
尽管本发明是以文中特定的具体实施方式详细地描述的,但是显而易见,对于本领域的技术人员而言,可以进行各种改变和修改以及使用等同替代而不超出所附的权利要求的范围。

Claims (14)

1.一种用于等离子体处理设备的反应室内的基片支座,所述基片支座包括:
底部元件,
覆于所述底部元件上的热传递元件,所述热传递元件具有设有第一流道的第一分区和设有第二流道的第二分区,其中所述第一流道与所述第二流道用于流通液体以单独地加热和冷却所述热传递元件的每一个分区;
与所述第一流道流体连通的第一共用管路;
与所述第二流道流体连通的第二共用管路;
与所述第一共用管路和连通于热液体源的第一供应管路流体连通的第一阀,所述第一阀用于控制从所述热液体源流经所述第一共用管路的热液体的流量;
与所述第一共用管路和连通于冷液体源的第二供应管路流体连通的第二阀,所述第二阀用于控制从所述冷液体源流经所述第一共用管路的冷液体的流量;
与所述第二共用管路和连通于热液体源的第一供应管路流体连通的第三阀,所述第三阀用于控制流经所述第二共用管路的热液体的流量;
与所述第二共用管路和连通于所述冷液体源的第二供应管路流体连通的第四阀,所述第四阀用于控制流经所述第二共用管路的所述冷液体的流量;
控制器,用于独立控制:
(a)所述第一阀和所述第二阀以通过所述第一共用管路来调整对应所述第一流道的所述热液体相对于所述冷液体的第一混合比以独立控制所述第一分区的温度;和
(b)所述第三阀和所述第四阀以通过所述第二共用管路来调整对应所述第二流道的所述热液体相对于所述冷液体的第二混合比以独立控制所述第二分区的温度;
覆于所述热传递元件上的静电卡盘,所述静电卡盘设有用于在所述等离子体处理设备的反应室内支撑基片的支撑面;和
将所述第一分区和所述第二分区隔开的隔热层,其中所述隔热层为延伸通过所述热传递元件的整个层厚的环形通道。
2.根据权利要求1所述的基片支座,进一步包括:设置于所述热传递元件和所述底部元件之间的粘接材料,所述粘接材料具有从约0.1W/m-K到约4W/m-K的导热系数;和从约1密耳到约200密耳的厚度,其中所述基片的从中心到边缘的温度分布改变达40℃,而方位温度均匀度小于等于1℃。
3.根据权利要求1所述的基片支座,进一步包括:
所述热传递元件具有设有第三流道的第三分区,设有第四流道的第四分区和设有第五流通道的第五分区;
与所述第三流道流体连通的第三共用管路;
与所述第四流道流体连通的第四共用管路;
与所述第五流道流体连通的第五共用管路;
与所述第三共用管路和连通于所述热液体源的所述第一供应管路流体连通的第五阀,所述第五阀用于控制流经所述第三共用管路的热液体的流量;
与所述第三共用管路和连通于所述冷液体源的所述第二供应管路流体连通的第六阀,所述第六阀用于控制流经所述第三共用管路的所述冷液体的流量;
与所述第四共用管路和连通于所述热液体源的第一供应管路流体连通的第七阀;所述第七阀用于控制流经所述第四共用管路的所述热液体的流量;
与所述第四共用管路和连通于所述冷液体源的所述第二供应管路流体连通的第八阀;所述第八阀用于控制流经所述第四共用管路的所述冷液体的流量;
与所述第五共用管路和连通于所述热液体源的所述第一供应管路流体连通的第九阀,所述第九阀用于控制流经所述第五共用管路的所述热液体的流量;
与所述第五共用管路和连通于所述冷液体源的第二供应管路流体连通的第十阀,所述第十阀用于控制流经所述第五共用管路的所述冷液体的流量;和
控制器,进一步用于独立控制:
(c)所述第五阀和所述第六阀以通过所述第三共用管路来调整对应所述第三流道的所述热液体相对于所述冷液体的第三混合比以独立控制所述第三分区的温度;
(d)所述第七阀和所述第八阀以通过所述第四共用管路来调整对应所述第四流道的所述热液体相对于所述冷液体的第四混合比以独立控制所述第四分区的温度;
(e)所述第九阀和所述第十阀以通过所述第五共用管路来调整对应所述第五流道的所述热液体相对于所述冷液体的混合比以独立控制所述第五分区的温度。
4.根据权利要求3所述的基片支座,其中,所述热传递元件为圆形盘;且每一个分区同心设置于相对所述圆形盘中心的不同径向距离;以及包括延伸通过所述热传递元件的整个层厚的环形通道的第二隔热层隔开第二分区和所述第三分区,包括延伸通过所述热传递元件的整个层厚的环形通道的第三隔热层隔开第三分区和所述第四分区,包括延伸通过所述热传递元件的整个层厚的环形通道的第四隔热层隔开第四分区和所述第五分区。
5.根据权利要求1所述的基片支座,其中所述环形通道为中空的。
6.根据权利要求1所述的基片支座,其中所述环形通道内填充导热系数为从约0.1W/m-K到约4.0W/m-K的材料。
7.根据权利要求3所述的基片支座,其中,所述第一流道、所述第二流道、所述第三流道、所述第四流道和所述第五流道均与回流管路流体连通;且所述回流管路流体连通于所述热液体源和/或所述冷液体源。
8.一种应用于等离子体处理设备的反应室内的基片支座,所述基片支座包括:
底部元件;
覆于所述底部元件上的热传递元件,所述热传递元件具有设有第一流道的第一分区和设有第二流道的第二分区,其中所述第一流道和所述第二流道用于流通流体以单独地加热和冷却所述热传递元件的每一个分区;
流体连通于所述第一流道和液体源的供应管路;
沿所述供应管路设置的第一加热元件,所述第一加热元件用于将来自于所述液体源的液体在所述液体流通进入所述第一流道之前加热到第一温度;
与所述第一流道和所述第二流道流体连通的第一传输管路,所述第一传输管路适用于将所述液体从所述第一流道流通至所述第二流道;
沿所述第一传输管路设置的第二加热元件,所述第二加热元件用于将所述液体在流通进入所述第二流道之前加热至第二温度;
控制器,通过调整对应于每一个加热元件的功率控制每一个加热元件,以独立地控制每一个分区的温度;
覆于所述热传递元件上的静电卡盘,所述静电卡盘设有用于在所述等离子体处理设备的反应室内支撑基片的支撑面;和
将所述第一分区和所述第二分区隔开的隔热层,其中所述隔热层为延伸通过所述热传递元件的整个层厚的环形通道。
9.根据权利要求8所述的基片支座,进一步包括:设置于所述热传递元件和所述底部元件之间的粘接材料,所述粘接材料具有从约0.1W/m-K到约4W/m-K的导热系数;和从约1密耳到约200密耳的厚度,其中所述基片的从中心到边缘的温度分布改变达40℃,而方位温度均匀度小于等于1℃。
10.根据权利要求8所述的基片支座,进一步包括:
所述热传递元件具有设有第三流道的第三分区,设有第四流道的第四分区和设有第五流道的第五分区;
与所述第二流道和所述第三流道流体连通的第二传输管路,所述第二传输管路适用于将液体从所述第二流道流通至所述第三流道;
沿所述第二传输管路设置的第三加热元件,所述第三加热元件用于将所述液体在流通进入所述第三流道前加热至第三温度;
与所述第三流道和所述第四流道流体连通的第三传输管路,所述第三传输管路用于将液体从所述第三流道流通至所述第四流道;和
沿所述第三传输管路设置的第四加热元件,所述第四加热元件用于将所述液体在流通进入所述第四流道之前加热至第四温度;
与所述第四流道和所述第五流道流体连通的第四传输管路,所述第四传输管路用于将液体从所述第四流道流通至所述第五流道;和
沿所述第四传输管路设置的第五加热元件,所述第五加热元件用于将所述液体在流通进入所述第五流道之前加热至第五温度;和
与所述第五流道和所述液体源流体连通的回流管路;所述回流管路用于将液体从所述第五流通管路流通至所述液体源。
11.根据权利要求10所述的基片支座,进一步包括,设置于每一个分区内的温度传感器,所述温度传感器适用于测量每一个分区的温度并向所述控制器提供输入信号。
12.根据权利要求8所述的基片支座,其中所述环形通道为中空的。
13.根据权利要求8所述的基片支座,其中所述环形通道内填充导热系数为从约0.1W/m-K到约4.0W/m-K的材料。
14.根据权利要求10所述的基片支座,其中,所述热传递元件为圆形盘;且每一个分区同心设置于相对所述圆形盘中心的不同径向距离;以及包括延伸通过所述热传递元件的整个层厚的环形通道的第二隔热层隔开第二分区和所述第三分区,包括延伸通过所述热传递元件的整个层厚的环形通道的第三隔热层隔开第三分区和所述第四分区,包括延伸通过所述热传递元件的整个层厚的环形通道的第四隔热层隔开第四分区和所述第五分区。
CN201610088237.9A 2008-11-12 2009-11-03 由液体控制的多区基片支座改进的基片温度控制 Pending CN105603376A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/292,081 2008-11-12
US12/292,081 US20100116788A1 (en) 2008-11-12 2008-11-12 Substrate temperature control by using liquid controlled multizone substrate support
CN2009801429632A CN102197156A (zh) 2008-11-12 2009-11-03 由液体控制的多区基片支座改进的基片温度控制

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801429632A Division CN102197156A (zh) 2008-11-12 2009-11-03 由液体控制的多区基片支座改进的基片温度控制

Publications (1)

Publication Number Publication Date
CN105603376A true CN105603376A (zh) 2016-05-25

Family

ID=42164256

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610088237.9A Pending CN105603376A (zh) 2008-11-12 2009-11-03 由液体控制的多区基片支座改进的基片温度控制
CN2009801429632A Pending CN102197156A (zh) 2008-11-12 2009-11-03 由液体控制的多区基片支座改进的基片温度控制

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009801429632A Pending CN102197156A (zh) 2008-11-12 2009-11-03 由液体控制的多区基片支座改进的基片温度控制

Country Status (7)

Country Link
US (1) US20100116788A1 (zh)
EP (1) EP2366039A4 (zh)
JP (1) JP5546552B2 (zh)
KR (2) KR20110083666A (zh)
CN (2) CN105603376A (zh)
TW (2) TWI546408B (zh)
WO (1) WO2010055441A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111441038A (zh) * 2020-03-20 2020-07-24 华南理工大学 一种基于化学气相沉积法的纳米材料打印机
CN113512665A (zh) * 2021-07-14 2021-10-19 上海铂世光半导体科技有限公司 一种合金材料的特殊水道设计的散热台

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
CN101921987A (zh) * 2009-06-10 2010-12-22 鸿富锦精密工业(深圳)有限公司 溅镀镀膜装置
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR101841378B1 (ko) * 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
TWI495757B (zh) * 2011-09-16 2015-08-11 Kern Energy Entpr Co Ltd 薄膜製程設備及其製作流程
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US10256123B2 (en) 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
KR102074632B1 (ko) * 2011-11-23 2020-02-06 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
CN103205717B (zh) * 2012-01-13 2016-12-21 鸿富锦精密工业(深圳)有限公司 盖体
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR20190124348A (ko) * 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103898449B (zh) * 2012-12-27 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 用于调节托盘温度的腔室及半导体加工设备
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9677177B2 (en) 2013-10-24 2017-06-13 Applied Materials, Inc. Substrate support with quadrants
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) * 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
CN103792842B (zh) * 2014-01-22 2016-08-17 清华大学 一种可用于功率场空间分布精细控制的基台及控制方法
CN103757608B (zh) * 2014-01-22 2016-05-11 清华大学 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103792974B (zh) * 2014-01-22 2015-12-02 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
CN103726034B (zh) * 2014-01-22 2017-01-25 清华大学 用于工艺腔室的基台及其控制方法、托盘及其设计方法
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
KR102411194B1 (ko) 2014-09-04 2022-06-20 삼성전자주식회사 냉매의 양방향 흐름이 가능한 정전척 어셈블리 및 이를 구비한 반도체 제조장치
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102307839B1 (ko) * 2014-10-24 2021-10-05 세메스 주식회사 웨이퍼 지지용 척 구조물
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) * 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104947088B (zh) * 2015-06-16 2017-11-14 清华大学 调节温度场和/或等离子场的阻抗可控模块
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6584286B2 (ja) 2015-10-26 2019-10-02 日本発條株式会社 ヒータユニット
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US10764966B2 (en) 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101910347B1 (ko) * 2016-12-05 2018-10-23 주식회사 글로벌스탠다드테크놀로지 반도체 제조설비의 고도화 온도제어장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180233321A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Ion directionality esc
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN106893982A (zh) * 2017-03-30 2017-06-27 京东方科技集团股份有限公司 一种冷却板和蒸镀装置
CN110462812A (zh) * 2017-03-31 2019-11-15 朗姆研究公司 具有灵活的晶片温度控制的静电卡盘
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11107708B2 (en) * 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510564B2 (en) * 2018-01-10 2019-12-17 Lam Research Corporation Dynamic coolant mixing manifold
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11515190B2 (en) * 2019-08-27 2022-11-29 Watlow Electric Manufacturing Company Thermal diffuser for a semiconductor wafer holder
JP2021149467A (ja) * 2020-03-18 2021-09-27 株式会社Kelk 温度制御システム
CN111415887A (zh) * 2020-03-27 2020-07-14 宁波润华全芯微电子设备有限公司 一种晶圆加热装置
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
WO2023076321A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Modulating thermal conductivity to control cooling of showerhead
WO2023239585A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Substrate temperature control with integrated thermoelectric cooling system
CN116313946B (zh) * 2023-05-24 2023-10-17 长鑫存储技术有限公司 温度调节系统及调节方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015294A1 (en) * 1999-05-27 2003-01-23 Albert Wang Rapid heating and cooling of workpiece chucks
CN1781181A (zh) * 2003-03-31 2006-05-31 兰姆研究公司 具有温度受控的表面的基片支架
CN1849697A (zh) * 2003-06-30 2006-10-18 兰姆研究公司 具有动态温度控制的基片支架
CN101118865A (zh) * 2006-08-01 2008-02-06 应用材料股份有限公司 具有耐等离子体腐蚀性的保护层的衬底支架

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5538529A (en) * 1993-09-10 1996-07-23 Bion Technologies, Inc. Bioconverted nutrient rich humus
JPH0863236A (ja) * 1994-08-22 1996-03-08 Komatsu Electron Kk 温度制御装置
US5938943A (en) * 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
US6415858B1 (en) * 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6866094B2 (en) * 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
JPH11329926A (ja) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd 基板冷却装置および基板冷却方法
US5996353A (en) * 1998-05-21 1999-12-07 Applied Materials, Inc. Semiconductor processing system with a thermoelectric cooling/heating device
JP2000031253A (ja) * 1998-07-10 2000-01-28 Komatsu Ltd 基板処理装置及び方法
JP2004507886A (ja) * 2000-07-21 2004-03-11 テンプトロニック コーポレイション 温度制御された自動試験用熱プラットフォーム
JP3817414B2 (ja) * 2000-08-23 2006-09-06 株式会社日立製作所 試料台ユニットおよびプラズマ処理装置
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
EP1391140B1 (en) * 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US7160105B2 (en) * 2001-06-01 2007-01-09 Litrex Corporation Temperature controlled vacuum chuck
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6771086B2 (en) * 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
WO2004008503A1 (en) * 2002-07-11 2004-01-22 Temptronic Corporation Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
CN100452306C (zh) * 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015294A1 (en) * 1999-05-27 2003-01-23 Albert Wang Rapid heating and cooling of workpiece chucks
CN1781181A (zh) * 2003-03-31 2006-05-31 兰姆研究公司 具有温度受控的表面的基片支架
CN1849697A (zh) * 2003-06-30 2006-10-18 兰姆研究公司 具有动态温度控制的基片支架
CN101118865A (zh) * 2006-08-01 2008-02-06 应用材料股份有限公司 具有耐等离子体腐蚀性的保护层的衬底支架

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111441038A (zh) * 2020-03-20 2020-07-24 华南理工大学 一种基于化学气相沉积法的纳米材料打印机
CN111441038B (zh) * 2020-03-20 2023-08-22 华南理工大学 一种基于化学气相沉积法的纳米材料打印机
CN113512665A (zh) * 2021-07-14 2021-10-19 上海铂世光半导体科技有限公司 一种合金材料的特殊水道设计的散热台
US11892249B2 (en) 2021-07-14 2024-02-06 Shanghai Worldiray Semiconductor Technology Co., Ltd. Heat dissipation table made of alloy material and with special waterway design

Also Published As

Publication number Publication date
EP2366039A2 (en) 2011-09-21
US20100116788A1 (en) 2010-05-13
TW201631190A (zh) 2016-09-01
JP5546552B2 (ja) 2014-07-09
JP2012508991A (ja) 2012-04-12
KR20160141873A (ko) 2016-12-09
TWI589719B (zh) 2017-07-01
WO2010055441A3 (en) 2010-07-08
CN102197156A (zh) 2011-09-21
WO2010055441A2 (en) 2010-05-20
EP2366039A4 (en) 2013-09-18
TW201033398A (en) 2010-09-16
KR20110083666A (ko) 2011-07-20
TWI546408B (zh) 2016-08-21

Similar Documents

Publication Publication Date Title
CN105603376A (zh) 由液体控制的多区基片支座改进的基片温度控制
US8955579B2 (en) Plasma processing apparatus and plasma processing method
CN104471701B (zh) 具有多区温度控制及多重净化能力的基座
KR100302609B1 (ko) 온도가변 가스 분사 장치
US6352594B2 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20080299326A1 (en) Plasma cvd apparatus having non-metal susceptor
TW200926349A (en) Electrostatic chuck assembly
TWI582263B (zh) 氣體輸送系統與氣體輸送系統的使用方法
CN101424950A (zh) 被处理基板温度调节装置和调节方法及等离子体处理装置
JP4886876B2 (ja) プラズマ処理装置及びプラズマ処理方法
EP1667217A1 (en) Gas treatment device and heat readiting method
JP2002518839A (ja) デュアルチャネル・ガス分配プレート
KR20070090117A (ko) 횡단-흐름 라이너를 갖는 열처리 시스템
TW201019410A (en) Apparatus and method for controlling temperature of semiconductor wafer
TW201630108A (zh) 用於電漿處理的雙區式加熱器
CN100466162C (zh) 用于改进cvd膜性能的边流面板
US20130145989A1 (en) Substrate processing tool showerhead
JP2021525963A (ja) フラットパネルプロセス機器用の温度制御ガスディフューザー
KR102109435B1 (ko) 기판 온도조절이 가능한 기판 캐리어 모듈
US20230383406A1 (en) Axially cooled metal showerheads for high temperature processes
TW201202473A (en) Precise temperature control for TEOS application by heat transfer fluid
JP2024510364A (ja) エピタキシチャンバ及びcvdチャンバのためのガスインジェクタ
KR20200097021A (ko) 온도 조절 장치
CN116057684A (zh) 使用多个加热区和热孔隙的基座热分布调节
JP2001326219A (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20160525