KR20070090117A - 횡단-흐름 라이너를 갖는 열처리 시스템 - Google Patents

횡단-흐름 라이너를 갖는 열처리 시스템 Download PDF

Info

Publication number
KR20070090117A
KR20070090117A KR1020070021144A KR20070021144A KR20070090117A KR 20070090117 A KR20070090117 A KR 20070090117A KR 1020070021144 A KR1020070021144 A KR 1020070021144A KR 20070021144 A KR20070021144 A KR 20070021144A KR 20070090117 A KR20070090117 A KR 20070090117A
Authority
KR
South Korea
Prior art keywords
injector
wafer
angle
liner
orifices
Prior art date
Application number
KR1020070021144A
Other languages
English (en)
Inventor
타이슈잉 티. 시우
로버츠 제이. 베일리
헬무쓰 트레이첼
Original Assignee
에비자 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에비자 테크놀로지, 인크. filed Critical 에비자 테크놀로지, 인크.
Publication of KR20070090117A publication Critical patent/KR20070090117A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

캐리어에 고정된 기판을 열적으로 처리하기 위한 장치가 제공된다. 상기 장치는 각 기판의 표면을 횡단하는 가스 흐름 균일성을 향상시키는 횡단-흐름 라이너를 포함한다. 상기 횡단-흐름 라이너는 횡단-흐름 분사 시스템을 수용하기 위한 길이 방향 돌출부를 포함한다. 상기 라이너는 웨이퍼 캐리어에 꼭 맞는 크기 및 형태를 가져서, 라이너와 웨이퍼 캐리어 사이의 갭을 줄여 웨이퍼 캐리어 및 라이너 내벽 사이의 갭 영역에서 소용돌이 및 정체를 줄이거나 제거한다.
기판, 웨이퍼, 열처리, 횡단-흐름.

Description

횡단-흐름 라이너를 갖는 열처리 시스템 {THERMAL PROCESSING SYSTEM WITH ACROSS-FLOW LINER}
도 1은, 종래의 상향 흐름 구조를 이용하며, 본 발명의 일 실시예에 따라 등온 제어 공간을 제공하기 위한 받침대 히터를 가진 열처리 장치의 단면도이다.
도 2는 도 1에 도시된 열처리 장치에 유용한 베이스 판을 가진 다른 실시예의 사시도이다.
도 3은 본 발명의 일 실시예에 따른 받침대 히터와 열 차폐물을 가진 열처리 장치의 부분 단면도이다.
도 4는 본 발명의 일 실시예에 따른 도 3의 받침대 히터와 열 차폐물의 개략도이다.
도 5는 본 발명에 따라 고흡수성을 가진 재료의 상부층과 고반사율을 가진 재료로 된 하부층을 가진 열 차폐물의 일 실시예의 개략도이다.
도 6은 본 발명에 따른 냉각 채널을 가진 열 차폐물의 다른 실시예의 개략도이다.
도 7은 본 발명에 따른 열 차폐물와 액추에이터의 실시예의 사시도이다.
도 8은 본 발명의 일 실시예에 따른 셔터를 가진 열처리 장치의 부분 단면도이다.
도 9는 본 발명의 일 실시예에 따른 받침대 히터와 자기식으로/자성 유체(ferrofluidic) 결합된 웨이퍼 시스템을 가진 공정 챔버의 단면도이다.
도 10은 본 발명의 일 실시예에 따른 횡단-흐름 인젝터를 가진 열처리 장치의 단면도이다.
도 11은 본 발명의 일 실시예에 따라 라이너에 대한 인젝터 오리피스와 웨이퍼에 대한 배출 슬롯의 위치를 도시하는 도 13의 각도 Ψ에 따른 도 10의 열처리 장치의 부분 단면도이다.
도 12는, 본 발명의 일 실시예에 따라, 기판 중심에 대해 0도의 각도 α에 있는 주 인젝터와 180도의 각도 β에 있는 2차 인젝터의 오리피스들로부터 웨이퍼를 가로질러 배출 포트로의 가스 흐름을 도시하는, 도 10의 A-A 선에 따라 취한 도 10의 열처리 장치의 부분 평면도이다.
도 13은 기판 중심에 대해 180도의 각도 α에 있는 주 인젝터와 180도의 각도 β에 있는 2차 인젝터의 오리피스들로부터 웨이퍼를 가로질러 배출 포트로의 가스 흐름을 도시하는, 도 10의 A-A 선에 따라 취한 도 10의 열처리 장치의 부분 평면도이다.
도 14는 기판 중심에 대해 75도의 각도 α에 있는 주 인젝터와 75도의 각도 β에 있는 2차 인젝터의 오리피스들로부터 웨이퍼를 가로질러 배출 포트로의 가스 흐름을 도시하는, 도 10의 A-A 선에 따라 취한 도 10의 열처리 장치의 부분 평면도이다.
도 15는 기판 중심에 대해 0도의 각도 α에 있는 주 인젝터와 0도의 각도 β 에 있는 2차 인젝터의 오리피스들로부터 웨이퍼를 가로질러 배출 포트로의 가스 흐름을 도시하는, 도 10의 A-A 선에 따라 취한 도 10의 열처리 장치의 부분 평면도이다.
도 16은 본 발명의 일 실시예에 따른 다른 상향 흐름 인젝터 시스템을 가진 열처리 장치의 단면도이다.
도 17은 본 발명의 일 실시예에 따른 다른 하향 흐름 인젝터 시스템을 가진 열처리 장치의 단면도이다.
도 18은, 웨이퍼 군의 각각의 웨이퍼가 원하는 온도로 신속하고 균일하게 가열되게 하는, 본 발명의 일 실시예에 따른 웨이퍼 군을 열처리하기 위한 공정의 일 실시예를 도시하는 흐름도이다.
도 19는 웨이퍼 군의 각각의 웨이퍼가 원하는 온도로 신속하고 균일하게 가열되게 하는, 본 발명의 일 실시예에 따른 웨이퍼 군을 열처리하기 위한 공정의 다른 실시예를 도시하는 흐름도이다.
도 20은 본 발명의 일 실시예에 따른 횡단-흐름 라이너를 포함하는 열처리 장치의 단면도이다.
도 21은 본 발명의 일 실시예에 따른 길이 방향 돌출부를 도시하는 횡단-흐름 다단 라이너의 외부 사시도이다.
도 22는, 본 발명의 일 실시예에 따라, 라이너 내의 복수개의 배출 슬롯을 도시하는, 도 21의 것에 대해 반대인 횡단-흐름 다단 라이너의 외부 사시도이다.
도 23은 본 발명의 일 실시예에 따른 횡단-흐름 라이너의 측면도이다.
도 24는 본 발명의 일 실시예에 따른 횡단-흐름 라이너의 평면도이다.
도 25는 본 발명의 일 실시예에 따른 횡단-흐름 라이너의 부분 평면도이다.
도 26은 횡단-흐름 분사 시스템의 일 실시예에 역전된 사시도이다.
도 27은 횡단-흐름 분사 시스템의 다른 실시예에 역전된 사시도이다.
도 28은, 웨이퍼를 가로질러 흐르고 돌출부에 대하여 반대쪽에 있는 배출 슬롯을 통해 나가기 전에 서로 충돌하는, 기판 중심에 대해 180도의 각도 α에 있는 제1 인젝터의 오리피스들과 180도의 각도 β에 있는 제2 인젝터의 오리피스들로부터의 가스 흐름을 도시하는, 돌출부를 가진 횡단-흐름 라이너의 평면도이다.
도 29는, 웨이퍼를 가로질러 흐르고 돌출부에 대하여 반대쪽에 있는 배출 슬롯을 통해 나가기 전에 서로 충돌하는, 기판 중심에 대해 110도의 각도 α에 있는 제1 인젝터의 오리피스들과 110도의 각도 β에 있는 제2 인젝터의 오리피스들로부터의 가스 흐름을 도시하는, 돌출부를 가진 횡단-흐름 라이너의 평면도이다.
도 30은, 웨이퍼의 중심으로 향하며, 돌출부에 대하여 반대쪽에 있는 배출 슬롯을 통해 나가는, 기판 중심에 대해 0도의 각도 α에 있는 제1 인젝터의 오리피스들과 0도의 각도 β에 있는 제2 인젝터의 오리피스들로부터의 가스 흐름을 도시하는, 돌출부를 가진 횡단-흐름 라이너의 평면도이다.
도 31 및 도 32는, 돌출부(도 31), 원형 단면부(도 32), 및 웨이퍼 중심에 대해 180도의 각도를 형성하는 분사 오리피스를 각각 가진 2개의 인젝터를 포함하는 챔버 내의 웨이퍼 표면을 가로지르는 가스 흐름선을 도시하는 입자 궤적 도면이며, 좌측 인젝터의 오리피스로부터의 흐름은 우측 인젝터의 오리피스로부터의 흐름 의 10배이고, 도 31 및 도 32에서의 흐름은 동일하다.
도 33 및 도 34는, 돌출부(도 33), 원형 단면부(도 34), 및 웨이퍼 중심에 대해 75도의 각도를 형성하는 분사 오리피스를 각각 가진 2개의 인젝터를 포함하는 챔버 내의 웨이퍼 표면을 가로지르는 가스 흐름선을 도시하는 입자 궤적 도면이며, 좌측 인젝터의 오리피스로부터의 흐름은 우측 인젝터의 오리피스로부터의 흐름의 10배이고, 도 33 및 도 34에서의 흐름은 동일하다.
도 35 및 도 36은, 돌출부(도 35), 원형 단면부(도 36), 및 웨이퍼 중심에 대해 0도의 각도를 형성하는 분사 오리피스를 각각 가진 2개의 인젝터를 포함하는 챔버 내의 웨이퍼 표면을 가로지르는 가스 흐름선을 도시하는 입자 궤적 도면이며, 좌측 인제터의 오리피스로부터의 흐름은 우측 인젝터의 오리피스로부터의 흐름의 10배이고, 도 35 및 도 36에서의 흐름은 동일하다.
도 37은 도 28 내지 도 31, 도 33 및 도 35에 단면도로 도시된 횡단-흐름 라이너의 측면도이다.
도 38은, 본 발명의 일 실시예에 따른 열 차폐물을 도시하는, 도 37의 38A-38B 선을 따라 취한 횡단-흐름 라이너의 단면도로서, 각도 ψ는 도시된 도면 위에서 배출 슬롯의 중심을 형성한다.
도 39는 도 38의 원으로 표시된 부분의 확대 단면도이다.
도 40은 돌출부를 가진 횡단-흐름 라이터 내의 h-튜브를 형성하는 기다란 인젝터를 도시하는 부분 투명 측면도이다.
도 41은, 도 40에 도시된 라이너의 개방 단부에 있는, 노치를 가진 인젝터 결 합 구멍의 확대 단면도이다.
도 42는, 좌측 인젝터로부터 비스 터트부틸라미노 실란(BTBAS) 및 우측 인젝터로부터 암모니아를 흐르게 하는, 도 28에 도시된 횡단-흐름 라이너와 분사 시스템을 포함하는 열처리 장치에 대한 계산 유체역학(CFD)적으로 표시된 도면이다.
도 43은, 우측 인젝터와 좌측 인젝터로부터 각각 BTBAS와 암모니아를 흐르게 하는, 도 29에 도시된 횡단-흐름 라이너와 분사 시스템을 포함하는 열처리 장치의 CFD로 표시된 도면이다.
도 44는, 우측 인젝터와 좌측 인젝터로부터 각각 BTBAS와 암모니아를 흐르게 하는, 도 30에 도시된 횡단-흐름 라이너와 분사 시스템을 포함하는 열처리 장치의 CFD로 표시된 도면이다.
도 45는 본 발명의 열처리 시스템의 여러 가지 크기의 수직 인젝터와 베이스 판 사이의 인터페이스의 분해도이다.
도 46은 본 발명의 라이너의 반투명 사시도이다.
도 47은 도 46에 도시된 본 발명의 라이너의 단면도이다.
도 48은, 계산 유체역학적 연구로부터 도출되고, 도 46의 라이너를 이용하는 열처리 시스템에 대한 고반응성의 웨이퍼 존을 도시하는 추적선을 개략적으로 도시하는 도면이다.
도 49는, 계산 유체역학적 연구로부터 도출되고, 도 36의 라이너를 이용하는 열처리 시스템에 대한 고반응성의 웨이퍼 존을 도시하는 궤적선을 개략적으로 도시하는 도면이다.
도 50은 각각의 인젝터를 수용하는 돌출부를 가진 본 발명의 라이너의 반투명 절단 사시도이다.
도 51은 도 50에 도시된 본 발명의 라이너의 단면도이다.
도 52는 본 발명의 라이너의 반투명 절단 사시도이다.
도 53은 도 52에 도시된 본 발명의 라이너의 단면도이다.
본 출원은 2007년 1월 26일자로 출원된 미국 출원번호 제11/627,474 및 2006년 3월 1일자로 출원된 미국 가출원번호 60/777,853호의 우선권 및 이익을 주장하며, 이들 출원의 내용의 모두는 본 명세서에 참조로서 포함된다.
본 발명은 기판과 같은 물체를 열처리하는 시스템 및 방법에 관한 것으로서, 특히 반도체 웨이퍼 기판의 적층체를 열처리, 어닐링, 박막 증착 또는 막을 제거하는 것 등에 의해서 동시에 그리고 균일하게 처리하기 위한 장치 및 방법에 관한 것이다.
열처리 장치들은 반도체 기판 또는 웨이퍼로부터 집적 회로 또는 반도체 장치를 제조하는 데에 일반적으로 사용된다. 반도체 웨이퍼 열처리에는, 예를 들면 가열 처리, 어닐링, 반도체 기판으로의 불순물의 확산 또는 유도, 기판 표면으로의 물질층의 증착 또는 성장, 및 웨이퍼 표면으로부터의 물질의 에칭 또는 제거 등이 포함된다. 이들 공정은 종종 1300℃의 고온 및 300℃ 또는 그 이하의 온도로 웨이 퍼를 가열할 것을 공정 전에 또는 공정 중에 요구하며, 공정 가스 또는 반응물(reactant)와 같은 프리커서를 웨이퍼로 전달할 것을 요구한다. 더욱이, 공정 챔버로 유입되는 공정 가스의 온도 및 양에 변화가 있어도, 상기 공정들은 일반적으로 공정 전체에 걸쳐서 웨이퍼가 일정한 온도로 유지될 것을 요구한다.
종래의 일반적인 열처리 장치들은 가열로의 내부에 또는 가열로에 의해 둘러싸이는 부피가 큰 공정 챔버를 포함한다. 열적으로 처리될 기판들은 공정 챔버 내에서 밀봉되며, 그 후 공정이 수행되는 시간동안 의도하는 온도로 상기 가열로에 의해서 가열된다. 화학적 증착(CVD)와 같은 많은 수의 공정들을 위해서, 밀봉된 공정 챔버는 우선 비워지고, 공정 챔버가 원하는 온도에 도달하면 반응 가스 또는 공정 가스가 기판에 반응 종(reactant species)을 형성하거나 증착하기 위해 유입된다.
일반적인 열처리 장치들은 대개 공정 챔버의 측벽에 인접하고, 웨이퍼가 처리되는 처리존의 상부 및 하부에 배치되는 가드 히터를 필요로 한다. 이는 펌핑되고 공정 가스 또는 증기로 채워지고, 재충전 또는 퍼지되어야 하는 공정 챔버의 부피를 거대하게 하여 공정 타임을 증가시키므로, 이러한 배치는 바람직하지 않다. 더욱이, 이러한 구조는 상당한 공간을 차지하고, 히터로부터의 웨이퍼의 낮은 뷰팩터(view factor)로 인해서 상당한 동력을 소모한다.
종래의 열처리 장치의 다른 문제점은 공정 전에 공정 챔버 및 처리될 웨이퍼의 온도를 원하는 수준까지 끌어올리고, 공정이 끝난 후에 온도를 낮추어야 하는 데에 상당한 시간이 소모된다는 점이다. 더욱이, 공정이 시작되기 전에 공정 챔버 의 온도가 원하는 온도로 균일하게 안정화되었는지 확인하는데에도 추가적인 시간이 소요된다. 웨이퍼의 처리에 실제로 소모되는 시간은 30분 미만이지만, 사전 및 사후 공정에 소요되는 시간은 일반적으로 1 내지 3 시간 또는 그 이상이다. 따라서, 공정 챔버를 균일한 온도로 가열 및/또는 냉각하는데에 소요되는 시간은 종래의 열처리 장치의 생산성을 심각하게 제한한다.
비교적 긴 가열 및 냉각 시간이 소요되는 근본적인 이유는, 종래의 열처리 장치에서의 공정 챔버 및/또는 가열로의 열질량(thermal mass) 때문이며, 이는 웨이퍼를 효율적으로 가열 또는 냉각하기 전에 가열 또는 냉각되어야 한다.
종래의 열처리 장치를 통해서 처리된 웨이퍼의 생산량에 대한 이러한 제한을 최소화 또는 상쇄하기 위한 일반적인 접근 방법은 하나의 사이클 또는 공정에서 처리될 수 있는 웨이퍼의 수를 늘리는 것이다. 다수의 웨이퍼를 동시에 처리하면 웨이퍼 당 소요되는 실질적인 처리 시간을 줄일 수 있어, 장치의 생산성을 최대화하는데 도움이 된다. 그러나, 이러한 접근 방법은 또한 공정 중에 무언가 잘못될 위험성을 증가시키기도 한다. 즉, 다수의 웨이퍼가, 예를 들면 공정 진행 중에 장비 또는 공정 손상과 같은 하나의 결함으로 인해서 손상되거나 파손될 수 있다. 이는 공정 단계에 따라서는 하나의 웨이퍼가 1,000 내지 10,000 달러의 가치를 가질 수 있는 대형 웨이퍼 및 보다 복잡한 집적 회로인 경우에 특히 문제가 된다.
하나의 공정과정에서 웨이퍼의 수를 늘리는 것과 관련된 또 다른 문제점은 다수의 웨이퍼를 수용하기 위해서 공정 챔버의 크기를 늘려야 한다는 것이며, 이는 공정 챔버의 열질량을 늘려서 웨이퍼가 가열되거나 냉각되는 속도를 줄이게 된다. 특히, 상대적으로 큰 웨이퍼 군(wafer batch)을 처리하는 보다 큰 공정 챔버는 "선입후출(first-in-last-out) 문제를 야기하거나 심화시킨다. 이러한 문제는 챔버에 장입된 최초의 웨이퍼가 가장 늦게 제거되는 것에 의해 야기되며, 그로 인해 이러한 웨이퍼들은 보다 긴 시간동안 높은 온도에 노출되어 웨이퍼 군에 걸친 균일성을 감소시킨다.
종래의 열처리 장치의 또 다른 문제점은 웨이퍼 군에 걸쳐서 웨이퍼 대 웨이퍼 및 하나의 웨이퍼에서의 지점 대 지점에 대한 비균일성을 증가시킨다는 것이다. 이러한 비균일성의 증가는 공정 또는 반응 가스의 부적절한 혼합 및 웨이퍼를 횡단하는 가스의 균일하지 않은 흐름으로 인한 것이다. 부적절한 혼합은 불충분한 가스 분사 시스템에 의한 것이다. 웨이퍼 표면을 횡단하는 공정 또는 반응 가스의 불균일한 흐름은 공정 챔버 또는 라이너와 웨이퍼 사이에 존재하는 갭 및 빈 공간에 의해 촉진된다. 이러한 갭 및 공간은 가스 흐름이 소용돌이 및 정체를 일으키도록 한다.
따라서, 기판의 군에 대해서 어닐링, 막 증착, 또는 막 제거를 행하는 열 공정 동안, 기판 군에서 각 기판의 표면에 걸쳐서 의도한 온도로 기판의 군을 균일하고 신속하게 처리할 수 있는 장치 및 방법이 필요하다.
본 발명은 상기한 그리고 그 외의 문제에 대한 해답을 제공하며, 종래 기술에 비해 우수한 장점을 제공하며, 반도체 및 태양열 전지 생산 분야에 특히 유용한 기판 처리시에 유용성을 갖는다.
본 발명은 반도체 기판 또는 웨이퍼와 같은 가공물을 등온 가열하고, 어닐링, 웨이퍼 기판에의 불순물의 확산 또는 침투, 웨이퍼 기판에의 막의 증착 또는 성장, 및 웨이퍼 표면에서의 물질의 에칭 또는 제거와 같은 공정을 행할 수 있는 장치 및 방법을 제공한다.
고온 또는 상승된 온도를 갖는 캐리어에 고정된 기판을 처리하기 위한 열 처리 장치가 제공된다. 상기 장치는 상측벽, 측벽 및 하측벽을 포함하는 공정 챔버, 및 상기 공정 챔버의 상측벽, 측벽 및 하측벽에 인접한 복수의 가열 요소를 가지며 기판을 열 처리하기 위해 캐리어가 위치되는 공정 존(process zone)에 등온 환경을 제공하는 열원을 포함한다. 다른 형태로서, 상기 장치는 상기 공정 챔버의 상측벽 및 측벽에만 인접한 복수의 가열 요소를 포함한다. 상기 공정 챔버 내에 횡단-흐름 라이너가 위치하며, 상기 라이너에 웨이퍼를 포함하거나 포함하지 않는 캐리어가 삽입된다. 일 측면에 의하면, 횡단-흐름 라이너의 치수는 상기 캐리어를 수용하는데 필요한 부피를 실질적으로 넘지 않는 부피를 갖도록 선택되며, 상기 공정 존은 실질적으로 상기 횡단-흐름 라이너 전체에 걸쳐서 연장된다. 바람직하게는, 상기 횡단-흐름 라이너는 상기 캐리어를 수용하는데 필요한 부피의 125%에 달하는 부피를 실질적으로 넘지 않는 부피를 갖도록 선택된다. 보다 바람직하게는, 상기 장치는 공정 전에 공정 챔버를 비우기 위한 펌프 시스템 및 공정이 완료된 후 공정 챔버를 재충전하기 위한 퍼지(purge) 시스템을 추가적으로 포함한다. 상기 횡단-흐름 라이너 및 공정 챔버의 치수는 공정 챔버를 신속하게 비우고 재충전할 수 있 도록 선택된다.
본 발명의 다른 측면에 의하면, 상기 횡단-흐름 라이너는 각각의 기판의 표면에 걸친 반응 가스의 혼합 및 가스 흐름의 균일성을 향상시키고, 반응되지 않은 반응 가스 및 부산물의 배출을 향상시킨다. 본 발명에 의한 횡단-흐름 라이너는 수직방향 천공식 인젝터를 수용하기 위한 길이 방향의 돌출부를 포함한다. 상기 라이너는 웨이퍼 캐리어에 꼭 맞는 크기 및 형태를 가져서, 라이너와 웨이퍼 캐리어 간의 갭을 줄인다. 그로 인해, 가스 혼합도를 낮추고 불균일한 가스 흐름을 야기하는 상기 갭 영역에서의 소용돌이 및 정체가 줄어들거나 제거된다. 각각이 일련의 수직으로 이격되는 오리피스 및 배출공을 중앙 웨이퍼 캐리어 또는 보트 주위에 갖는 상기 인젝터의 변위를 조정하여, 기판 내부에서 및 기판들 사이에서의 공정 균일도를 촉진하도록 제어된다.
또한, 본 발명의 다른 실시에에서, 가스 흡입 분사 시스템을 조정하여, 반응 가스를 다양하게 혼합하고 가스 흐름을 다양하게 변경할 수 있다.
본 발명은, 처리 주기를 감소시키고 처리 균일성을 향상시키는, 카세트 또는 보트(boat)와 같은 캐리어 내에 유지되는 반도체 기판 또는 웨이퍼와 같은 비교적 적은 수 또는 작은 군의 하나 이상의 가공물을 처리하기 위한 장치 및 방법에 관한 것이다. 다른 대안에서, 본 발명은 보다 많은 수 또는 보다 많은 군의 하나 이상의 작업물을 처리하기 위한 장치 및 방법에 관한 것이며, 처리 주기를 감소시키고 처리 균일성을 향상시킨다.
열처리는, 통상적으로 약 350℃ 내지 1300℃이고, 75℃ 정도의 낮은 온도를 포함할 수 있는 의도한 온도로 가공물 또는 웨이퍼가 가열되는 공정을 뜻한다. 단지 설명의 목적을 위해서, 반도체 웨이퍼의 열처리는 열처리, 어닐링, 웨이퍼 기판으로의 불순물 재료의 확산 또는 침투, 예를 들면 화학 기상 증착법(CVD)를 통해 웨이퍼 표면상에 재료의 층의 증착 또는 성장, 및 웨이퍼 기판으로부터의 재료의 에칭 또는 제거를 포함할 수 있다.
이제 일 실시예에 따른 열처리 장치를 도 1을 참조하여 설명한다. 간결성을 위해, 널리 공지되어 있거나 당업자에게 공지되어 있는 열처리 장치의 여러 가지 상세사항은 생략되었다. 그러한 상세사항은, 원용에 의해 본 명세서에 포함된 예를 들면 본 출원인에게 양도된 미국 특허 제4,770,590에 더욱 상세히 설명되어 있다.
도 1은 일군의 반도체 웨이퍼를 열처리하기 위한 열처리 장치의 일 실시예의 단면도이다. 도시된 바와 같이, 열처리 장치(100)는, 일반적으로, 일군의 웨이퍼(108)를 고정하는 캐리어 또는 보트(106)를 수용하기 위한 지지부(014)를 가진 공정 챔버(102)를 형성하기 위한 부피를 가진 용기(101), 및 열처리를 위해 웨이퍼의 온도를 의도한 온도로 상승시키기 위한 복수개의 가열 요소(112-1, 112-2 및 112-3)(이하, 집합적으로 가열 요소(112)라고 칭함)를 가진 가열원 또는 노(110)를 포함한다. 열처리 장치(100)는 공정 챔버(102) 내의 온도를 모니터링하고 가열 요소(112)의 동작을 제어하기 위한 저항 온도 디바이스(RTD) 또는 열전쌍(T/C)과 같은 하나 이상의 광학 또는 전기 온도 감지 요소를 더 포함한다. 도 1에 도시된 일 실시예에서, 온도 감지 요소는, 공정 챔버(102) 내의 복수개의 위치에서 온도를 검 출하기 위해 복수개의 독립적 온도 감지 노드 또는 포인트(도시되지 않음)를 가진 프로파일 T/C(114)이다. 열처리 장치(100)는 또한, 웨이퍼(108)를 처리 또는 냉각하기 위해 가스 또는 증기와 같은 액체를 공정 챔버(102) 내로 도입하기 위한 하나 이상의 인젝터(116)(그 중 하나만 도시됨), 및 공정 챔버를 세척하고 웨이퍼를 냉각시키기 위해 가스를 도입하기 위한 하나 이상의 세척 포트 또는 벤트(118)(그중 하나만 도시됨)를 포함한다. 라이너(120)는 웨이퍼가 처리되는 공정 존(128) 내의 웨이퍼(108) 근처의 가스 또는 증기의 농도를 증가시키고, 공정 챔버(102)의 내면에 형성될 수 있는 증착물의 박리로 인한 웨이퍼의 오염을 감소시킨다. 공정 가스 또는 증기는 챔버 라이너(120) 내의 배출 포트 또는 슬롯(12)을 통해 공정 존으로부터 나온다.
일반적으로, 용기(101)는, 열처리 동안에 웨이퍼(108)를 완전히 수용하는 공정 챔버(102)를 형성하기 위해, o-링(122)과 같은 밀봉체에 의해 플랫폼 또는 베이스 판(124)에 밀봉된다. 공정 챔버(102)와 베이스 판(124)의 크기는 공정 챔버의 신속한 진공, 신속한 가열 및 신속한 재충전을 위해 선택된다. 바람직하게는, 용기(101)와 베이스 판(124)은, 캐리어(106)와 웨이퍼(108)를 내부에 보관하는 라이너(120)를 수용하는 데 필요한 부피보다 실질적으로 크지 않은 부피를 갖도록 선택되는 크기를 가진 공정 챔버(102)를 제공하는 크기를 가진다. 바람직하게는, 용기(101)와 베이스 판(124)은 캐리어(106)와 웨이퍼(108)를 내부에 보관하는 라이너(120)를 수용하는 필요한 크기의 약 125 내지 150%의 크기를 가진 공정 챔버(102)를 제공하는 크기를 가지며, 더욱 바람직하게는, 공정 챔버는, 챔버 부피를 최소화하여 필요한 펌프 정지 및 재충전 시간을 감소시키기 위해, 라이너(120), 캐리어(106) 및 웨이퍼(108)를 수용하는 데 필요한 크기의 약 125%보다 크지 않은 크기를 가진다.
인젝터(116), T/C(114) 및 벤트(118)용 개구들은 o-링, VCR® 또는 CF® 핏팅과 같은 밀봉을 이용하여 밀봉된다. 공정 중에 방출 또는 도입된 가스 또는 증기는, 도 1에 도시된 바와 같이, 공정 챔버(102)의 벽(도시되지 않음) 내에 또는 베이스 판(124)의 플레넘(127) 내에 형성되는 포어라인(foreline) 또는 배출 포트(126)를 통해 배출된다. 공정 챔버(102)는 열처리 동안에 대기압으로 유지되거나, 하나 이상의 러핑(roughing) 펌프, 송풍기, 고진공 펌프, 및 러핑 스로틀 및 포어라인 밸브를 포함하는 펌핑 시스템(도시되지 않음)을 통해 5 밀리토르 정도의 낮은 압력이 되도록 진공화될 수 있다. 다른 대안에서, 공정 챔버는 5 밀리토르 보다 낮은 압력이 되도록 진공화될 수 있다.
도 2에 도시된 바와 같이, 베이스 판(124)은, 복수개의 수직 인젝터 튜브 또는 인젝터(116A)가 현수되는 링(131)을 포함하는 인젝터(116)를 수용하고 지지하는 실질적으로 환형인 흐름 채널(129)을 더 포함한다. 인젝터(116A)는 상술한 바와 같은 상향 흐름, 하향 흐름 또는 횡단 흐름 패턴을 제공하는 크기 및 형상을 가질 수 있다. 링(131)과 인젝터(116A)는 보트(106)와 용기(101) 사이에서 공정 챔버(102) 내로 가스를 주입하도록 위치된다. 또한, 인젝터(116A)는 공정 챔버(102) 내로 가스 또는 증기를 균일하게 도입하기 위해 링(131) 주위에 이격되며, 필요시에는, 퍼지 가스를 공정 챔버 내로 도입하기 위해 퍼지 또는 재충전 중에 이용될 수 있다. 베이스 판(124)은, 밖으로 연장되는 상부 플랜지(133), 측벽(135), 및 안쪽으로 연장되는 베이스(137)를 가진 짧은 원통형으로 된다. 상부 플랜지(133)는 용기(101)를 수용하고 지지하며, 용기를 상부 플랜지에 밀봉하기 위해 o-링(122)을 포함한다. 베이스(137)는 인젝터(116)들의 링(131)과 측벽(135) 사이에 라이너(120)를 수용하고 지지한다.
또한, 도 2에 도시된 베이스 판(124)은, 재충전 또는 퍼지 가스 입구 포트(139, 143), 베이스 판(124)에 냉각 액체를 공급하는 냉각 포트(145, 147), 및 공정 챔버(102) 내의 압력을 모니터링하기 위한 압력 모니터링 포트(149)를 포함하는 여러 가지 포트를 포함한다. 프로세스 가스 입구 포트(151, 161)는 가스를 가스 공급장치(도시되지 않음)로부터 인젝터(116A)로 도입한다. 재충전 또는 퍼지 포트(139, 143)는, 주로 가스를 벤트 또는 퍼지 가스 공급기(도시되지 않음)로부터 벤트(118)로 유입하기 위해, 베이스 판(124)의 측벽(135)에 설치된다. 유량 제어기(도시되지 않음) 또는 임의의 다른 적절한 흐름 제어기는 공정 챔버(102) 내로의 가스의 흐름을 제어하기 위해 가스 공급기와 포트(139, 143, 151, 161) 사이에 일렬로 배치된다.
용기(101)와 라이너(120)는, 고온 및 고진공 작업의 열응력 및 기계적 응력에 견딜 수 있고 공정 중에 사용되거나 방출되는 가스 및 증기로부터의 부식에 대해 내성을 가지는 임의의 금속, 세라믹, 수정 또는 유리 재료로 제조될 수 있다. 바람직하게는, 용기(101)와 라이너(120)는 열처리 작업의 기계적 응력에 견디고 공정 부산물의 증착에 내성을 가지기에 충분한 두께를 가진 불투명, 반투명 또는 투 명 석영 유리로 제조된다. 공정 부산물의 증착에 대해 내성을 가짐으로써, 용기(101)와 라이너(120)는 처리 환경의 오염 가능성을 감소시킨다. 더욱 바람직하게는, 용기(101)와 라이너(120)는, 웨이퍼(108)가 처리되는 공정 존(128)으로부터 먼 곳으로 열이 전도되는 것을 감시키거나 없애는 석영으로 제조된다.
일군의 웨이퍼(108)는 로드 록(load lock) 또는 로드포트(loadport)(도시되지 않음)를 통해 열처리 장치(100) 내로 도입되고, 다음에는 기밀 밀봉을 형성할 수 있는 공정 챔버 또는 베이스 판(124) 내의 액세스 또는 개구를 통해 공정 챔버(102) 내로 도입된다. 도 1에 도시된 구성에서, 공정 챔버(102)는 수직 반응로이고, 액세스는, 베이스 판(124) 상에 o-링(132)과 같은 밀봉체로 밀봉하기 위해 공정 중에 상승되고, 작업자 또는 보트 핸들링 유닛(BHU)(도시되지 않음)과 같은 자동화 핸들링 시스템으로 하여금 캐리어 또는 보트(106)를 받침대에 고정된 지지부(104)에 위치시킬 수 있게 하기 위해 하강되는 가동성 받침대(130)를 이용한다.
가열 요소(112)는 공정 챔버(102)의 상부(134)(요소(112-3), 측부(136)(요소(112-2), 및 하부(138)(112-1)에 인접하여 위치되는 요소들을 포함한다. 다른 대안에서, 가열 요소(112)는 공정 챔버(102)의 하부(138)에 인접하여 위치되는 요소를 포함하지 않는다. 바람직하게는, 가열 요소(112)는, 웨이퍼에 대한 양호한 뷰 팩터(view factor)를 달성하여, 웨이퍼(108)가 처리되는 공정 챔버 내에 등온 공정 존(128)을 제공하도록, 웨이퍼를 둘러싼다. 공정 챔버(102)의 하부(138)에 인접하는 가열 요소(112-1)는 받침대(130) 내에 또는 받침대(130) 상에 배치될 수 있다. 필요시에는, 가열 요소(112-1)로부터의 열을 보충하기 위해, 추가적 가열 요소가 베이스 판(124) 내에 또는 베이스 판(124) 상에 배치될 수 있다.
도 1에 도시된 실시예에서, 공정 챔버(102)의 하부에 인접한 가열 요소(112-1)는 바람직하게는 이동가능한 받침대(130) 내에서 오목하게 된다. 받침대(130)는 열적 및 전기적으로 절연 재료 또는 매립되거나 고정된 전기적 저항성 가열 요소(112-1)를 가진 절연 블록(140)으로 제조된다. 받침대(130)는 가열 요소(112-1)를 제어하기 위해 이용되는 하나 이상의 T/C(141)를 더 포함한다. 도시된 구성에서, T/C(141)는 절연 블록(140)의 중앙에 매립된다.
측부 가열 요소(112-2)와 상부 가열 요소(112-3)는 용기(101) 주위에서 절연 블록(110) 내에 또는 절연 블록(110) 상에 배치될 수 있다. 바람직하게는, 측부 가열 요소(112-2)와 상부 가열 요소(112-3)는 절연 블록 내에서 오목하게 된다.
바람직하게는, 1150℃까지의 의도한 공정 온도를 얻기 위해, 공정 챔버(102)의 하부(138)에 인접한 가열 요소(112-1)는 적어도 1150℃의 최대 공정 온도에서 약 0.1 kW 내지 약 10 kW의 최대 출력을 가진다. 더욱 바람직하게는, 이들 하부 가열 요소(112-1)들은 적어도 950℃의 최대 공정 온도에서 적어도 약 3.8 kW의 출력을 가진다. 일 실시예에서, 측부 가열 요소(112-2)는 기능상 복수개의 존으로 분할되며, 각각의 존은 다른 전력 수준 및 듀티 사이클로 서로 독립적으로 작동될 수 있다. 가열 요소(112)는 임의의 적절한 방식으로 제어된다.
가열 부재와 단열 블록과 공정 챔버(102) 사이의 장벽으로서 작용하는 가열 부재 및 단열 블록을 역전된 석영 도가니(142)에 수납시킴으로써 제거하지 않는다면, 단열 블록(140) 및 하부 가열 부재(112-1)로부터의 오염은 감소된다. 도가 니(142)는 또한 임의의 외부 환경에 대하여 밀봉되어 처리 환경의 오염을 추가로 감소시키거나 제거한다. 일반적으로, 도가니(142)의 내부는 표준 대기압이며 1기압의 압력 차이를 견디기에 충분히 견고해야 한다.
웨이퍼(108)가 로드 또는 언로드되는 동안, 즉 받침대(130)가 하강된 위치(도 3)에 있는 동안, 하부 가열 부재(112-1)는 대기(idle) 온도를 원하는 공정 온도보다 낮게 유지하도록 가동된다. 예를 들어, 하부 가열 부재가 950℃의 원하는 공정 온도를 가지는 처리에 있어서, 대기 온도는 50~150℃일 수 있다. 보다 높은 온도 또는 보다 높은 가열 속도를 갖는 특정 공정에서는, 대기 온도는 보다 높게 설정될 수 있다. 보다 높은 대기 온도는 하부 가열 부재(112-1) 상의 열 사이클 효과를 감소시키도록 채용될 수 있어서, 부재의 수명을 연장시킨다.
처리 시간, 즉 처리를 위한 열처리 장치(100)의 준비에 필요한 시간을 보다 줄이기 위해, 하부 가열 부재(112-1)는, 장입 또는 로드, 즉 웨이퍼(108)가 놓인 보트(106)를 포함하는 받침대(130)가 상승되는 동안, 가열되거나 상승된 공정 온도로 유지될 수 있다. 그러나, 웨이퍼(108) 및 열처리 장치(100)의 부품상의 열 응력을 최소화하기 위해, 하부 가열 부재(112-1)는 공정 챔버(102)의 상부(136) 및 측부(134)에 각각 근접하여 위치되는 가열 부재(112-2, 112-3)와 동시에 원하는 공정 온도에 도달되도록 하는 것이 바람직하다. 따라서, 보다 높은 공정 온도를 필요로 하는 일부 공정에서는, 가열 부재(112-1)의 가열이 받침대(130)의 상승 전, 예를 들어 마지막 웨이퍼 군이 로드되는 동안에 개시될 수 있다.
마찬가지로, 처리 후 및 인출 또는 언로드 사이클 중, 즉 받침대(130)가 하 강되었을 때, 하부 가열 부재(112-1)에 대한 파워가 감소 또는 완전 제거되어 받침대(130)가 대기 온도로 냉각되기 시작한다.
인출 또는 언로드 사이클 전에 받침대(130)를 인출 온도로 냉각하는 것을 보조하기 위해, 공기 또는 질소와 같은 불활성 퍼지 가스를 위한 퍼지 라인이 단열 블록(140)을 통해 설치된다. 바람직하게, 질소는 단열 블록(140)의 중앙을 통과하는 통로(144)를 통해 분사되며 단열 블록(140)의 상부와 도가니(142)의 내부 사이에서 도가니(142)의 외주 쪽으로 유출되도록 한다. 그리고 고온의 질소는 헤파(High Efficiency Particular Air) 필터(미도시)를 통과하여 대기 또는 배기 시설(미도시)로 배출된다. 이러한 중앙 분사 구성은 웨이퍼(108)의 중앙을 보다 신속하게 냉각시키며 따라서 하부 웨이퍼의 중앙/에지 온도 편차를 최소화시키는데 이상적이다.
전술한 바와 같이, 하부 가열 부재(112-1)의 수명을 증대 또는 연장시키기 위해, 대기(idle) 온도는 보다 높게 설정되어 원하는 공정 온도에 근접되도록 함으로써, 열 사이클의 효과를 줄인다. 또한, 산소 표면 보호 코팅의 형성을 촉진하기 위해 산소가 충분한 환경에서 가열 부재(112-1)를 주기적으로 가열하는 것 또한 바람직하다. 예를 들어, 저항성 가열 부재가 칸탈(Kanthal™)과 같은 알루미늄 합금으로 형성되는 경우, 가열 부재(112-1)를 산소가 풍부한 환경에서 가열하면 알루미늄 산화 표면의 성장이 촉진된다. 따라서, 가열 부재(112-1)의 가열 시에 산화 표면 보호 코팅의 형성을 촉진하기 위해 단열 블록(140)은 산소 라인(미도시)을 더 포함할 수 있다. 대안적으로, 가열을 위한 산소는 3방 밸브를 통해, 냉각 질소를 공급하도록 처리 중에 사용되는 통로(144)를 통해 투입될 수 있다.
도 3은 열처리 장치(100)의 일 부분을 나타내는 단면도이다. 도 3은 웨이퍼(108)가 로드되거나 언로드되고 받침대(130)는 하강된 위치에 있는 열처리 장치(100)를 나타낸다. 이러한 작동 모드에서, 열처리 장치(100)는 받침대(130)와 보트(106) 내의 하부 웨이퍼(108) 사이의 장소로 회전되거나 슬라이드될 수 있는 열 차폐물(146)을 더 포함한다. 열 차폐물(146)의 성능을 향상시키기 위해, 일반적으로 열 차폐물은 가열 부재(112-1)와 대면하는 쪽은 반사성이고 웨이퍼(108)와 대면하는 쪽은 흡수성이다. 열 차폐물(146)의 목적은 웨이퍼(108)의 냉각 속도를 증대시키는 것을 포함하며, 받침대(130)의 대기 온도를 유지시키도록 보조하며, 따라서 공정 챔버(102)을 원하는 공정 온도로 상승시키는데 필요한 시간을 감소시킨다. 이하, 도 3 내지 도 6을 참조하여 열 차폐물을 가지는 열처리 장치의 실시예를 더욱 상세히 설명하기로 한다.
도 3에 도시한 실시예에서, 열 차폐물(146)은 암(148)을 통해, 회전 가능한 샤프트(150)에 부착된다. 회전 가능한 샤프트(150)는 전기식, 공압식 또는 유압식 액추에이터에 의해 회전되며, 인출 또는 언로드 사이클에서 열 차폐물(146)이, 받침대(130)와 웨이퍼(108) 사이의 제1 위치, 및 받침대(130)와 웨이퍼(108) 사이가 아닌 제2 위치으로 회전될 수 있도록 한다. 바람직하게, 회전 가능한 샤프트(150)는 받침대(130)의 상승 및 하강을 위해 사용되는 기구(미도시)에 장착 또는 부착되어, 열 차폐물(146)이 받침대의 상부가 공정 챔버(102)을 통과하는 순간의 위치로 회전될 수 있도록 한다. 로드 사이클 동안에 열 차폐물(146)을 정위치시킴으로써 가열 부재(112-1)는 보다 신속하게 원하는 온도로 가열될 수 있다. 마찬가지로, 언로드 사이클 동안에 차폐물(146)은 받침 가열 부재(112-1)로부터 방사되는 열을 반사함으로써 웨이퍼(108), 특히 받침대(130)에 보다 근접한 웨이퍼의 냉각을 돕는다.
대안적으로, 회전 가능한 샤프트(150)는 열처리 장치(100)의 다른 부분에 장착 또는 부착될 수 있으며, 받침대(130)와 연동하여 축방향으로 이동하거나 열 차폐물(146)을 받침대가 완전히 하강된 경우만의 위치으로 회전되도록 되어 있다.
도 4는 도 3의 받침 가열 부재(112-1) 및 열 차폐물(146)이, 하부 가열 부재로부터 방열되는 열 에너지 또는 열을 받침대(130)로 반사시키고 웨이퍼 군의 하부 웨이퍼로부터 방사되는 열 에너지 또는 열을 흡수하는 것을 나타낸다. 원하는 특징, 고반사성 및 고흡수성은, 금속, 세라믹, 유리 또는 폴리머 코팅과 같은 다수의 상이한 소재를 개별적 또는 조합으로 이용하여 얻어질 수 있다는 것이 확인되었다. 예를 들어, 이하의 표는 여러 적절한 소재 및 대응 파라미터를 나타낸다.
표 1
소 재 흡수성 반사성
스테인리스강 0.2 0.8
불투명 석영 0.5 0.5
폴리싱된 알루미늄 0.03 0.97
탄화규소 0.9 0.1
일 실시예에 따르면, 열 차폐물(146)은 일 측이 폴리싱되고 타 측이 스커핑(scuffed), 마멸(abraded), 또는 거칠게 된(roughened) 탄화규소(SiC), 불투명한 석영, 또는 스테인리스강과 같은 단일 소재로 만들어질 수 있다. 열 차폐물의 표면을 거칠게 하는 것은 그 열 전달 특성, 특히 반사성을 상당히 변화시킬 수 있다.
다른 실시예에서, 열 차폐물(146)은 2개의 상이한 소재 층으로 만들어질 수 있다. 도 5는 탄화규소 또는 불투명한 석영과 같은 소재의 상부 층(152)(고흡수성을 가짐) 및 폴리싱된 스테인리스강 또는 폴리싱된 알루미늄과 같은 소재의 하부 층(154)(고반사성을 가짐)을 가지는 열 차폐물(146)을 나타낸다. 대략 동일한 두께를 가지는 것으로 도시되었지만, 상부 층(152) 및 하부 층(154)은 열 팽창계수가 상이하기 때문에 이들 층 사이의 열 응력 최소화와 같은 열 차폐물(146)을 위한 특정 조건에 따라 상이한 두께를 가질 수 있다. 예를 들어, 특정 실시예에서 하부 층(154)은, 상부 층(152)에 형성된 석영 플레이트에 부착, 형성 또는 도금된 폴리싱된 금속의 매우 얇은 층 또는 필름일 수 있다. 이들 소재는 일체로 형성되거나, 인터로킹되거나, 접합 또는 패스너와 같은 종래의 수단에 의해 결합될 수 있다.
또 다른 실시예에서, 도 6은 웨이퍼(108)를 하부 가열 부재(112-1)로부터 추가로 단열시키기 위해 내부 냉각 채널(156)을 구비하는 열 차폐물(146)을 나타낸다. 이 실시예의 일 버전에서, 냉각 채널(156)은 2개의 상이한 소재 층 사이에 형성된다. 예를 들어, 냉각 채널(156)은 고흡수성 불투명 석영 층(152)의 밀링 또는 임의의 다른 적절한 기술에 의해 형성될 수 있으며 금속 층(154)으로 덮일 수 있다. 대안적으로, 냉각 채널(156)은 금속 층(154), 또는 금속 층과 석영 층(152) 모두로 형성될 수 있다.
도 7은 열 차폐물(146), 암(148), 회전 가능한 샤프트(150) 및 액추에이터(155)를 포함하는 열 차폐 어셈블리(153)의 실시예를 나타내는 사시도이다.
도 8에 도시한 바와 같이, 열처리 장치(100)는 받침대(130)가 완전히 하강된 위치에 있을 때 공정 챔버(102)을 외부 또는 로드 포트 환경(load port environment)과 격리시키기 위해 보트(106) 위의 위치로 회전, 슬라이드 또는 이동될 수 있는 셔터(158)를 더 포함할 수 있다. 예를 들어, 셔터(158)는 받침대(130)가 하강된 위치에 있을 때 캐리어 위의 위치로 슬라이드될 수 있으며, 공정 챔버(102)을 격리시키도록 상승된다. 대안적으로, 셔터(158)는 받침대(130)가 하강된 위치에 있을 때 캐리어(106) 위의 위치로 회전 또는 스윙될 수 있으며, 공정 챔버(102)을 격리시키도록 후속적으로 상승된다. 선택적으로, 셔터(158)는, 셔터를 동시에 상승시켜서 캐리어(106) 위의 위치로 스윙되었을 때 공정 챔버(102)을 격리시키기 위해, 나선이 형성된 스크루 또는 로드에 대하여 또는 둘레에서 회전될 수 있다.
CVD 시스템과 같이 일반적으로 진공에서 작동되는 공정 챔버(102)에 있어서, 셔터(158)는 베이스 판(124)에 대하여 진공 밀봉을 형성하여 공정 챔버(102)가 처리 압력 또는 진공으로 감압될 수 있도록 한다. 예를 들어, 연속하는 웨이퍼 군 사이의 공정 챔버(102)을 감압시켜서 처리 환경의 오염 가능성을 감소 또는 제거하는 것이 바람직하다. 진공 밀봉을 형성하는 것은 O-링과 같은 대직경 밀봉에 의해 바람직하게 이루어지며, 따라서 셔터(158) 바람직하게, 밀봉을 냉각하기 위한 다수의 워터 채널(160)을 포함할 수 있다. 도 8에 도시한 실시예에서, 셔터(158)는 받침대(130)가 상승된 위치에 있는 경우 도가니(142)를 밀봉하기 위해 사용되는 동일한 O-링으로 밀봉한다.
공정 챔버(102)가 일반적으로 대기압으로 작동되는 열처리 장치(130)에 있어 서, 셔터(158)는 단순히 공정 챔버의 하부로부터의 열 손실을 감소시키도록 사용되는 단열 장치이다. 이를 위한 일 실시예는, 하부 또는 내부에 다수의 냉각 채널을 추가로 포함하지 않는 불투명 석영 플레이트를 사용한다.
받침대(130)가 완전히 하강된 위치에 있는 경우, 셔터(158)는 공정 챔버(102) 아래의 위치으로 이동된 후 하나 이상의 전기식, 유압식 또는 공압식 모터(미도시)에 의해 상승되어 공정 챔버을 격리시킨다.
바람직하게, 모터는 평방 인치 당 15 내지 60 파운드의 공기를 사용하는 공압식 모터이며, 공압 밸브의 작동을 위해 열처리 장치(100)에서 상업적으로 이용 가능하다. 예를 들어, 이 실시예의 일 버전에서, 셔터(158)는 짧은 암 또는 캔틸레버를 통해 양 측부(미도시)에 부착되는 다수의 휠을 가지는 플레이트를 포함할 수 있다. 작동 시, 플레이트 또는 셔터(158)는 2개의 평행한 가이드 레일(미도시) 상에서 공정 챔버(102) 아래의 위치으로 롤링된다. 가이드 레일 상의 스톱은 캔틸레버가 셔터(158)를 상측 방향으로 피벗 이동하도록 하여 공정 챔버(102)가 밀봉되도록 한다.
도 9에 도시한 바와 같이, 전술한 구성과 대응되는 부재에 동일한 참조부호를 부여한 열처리 장치(100)는, 처리를 하는 동안에 지지부(104) 및 보트(106)를 이들에 지지되는 웨이퍼(108)와 함께 회전시키는, 자기적으로 결합된 웨이퍼 회전 시스템(162)을 더 포함한다. 대안적으로, 열처리 장치(100)는, 처리를 하는 동안에 지지부(104) 및 보트(106)를 이들에 지지되는 웨이퍼(108)와 함께 회전시키기 위해 회전식 자성 유체 밀봉(ferrofluidics seal)(미도시)을 이용한다. 처리 중에 웨이퍼(108)를 회전시키면 온도 및 처리 가스 유동의 임의의 불균일성을 평균화함으로써 웨이퍼 내(WIW) 균일성을 개선시킴으로써, 균일한 웨이퍼 온도 및 반응 종 프로파일이 형성되도록 한다. 일반적으로, 웨이퍼 회전 시스템(162)은 분당 0.1 내지 10회전(RPM)의 속도로 웨이퍼(108)를 회전시킬 수 있다.
웨이퍼 회전 시스템(162)은, 전기식 또는 공압식 모터와 같은 회전 모터(166)를 가지는 구동 어셈블리 또는 회전 기구(164), 및 풀림된(annealed) 폴리테트라플루오로에틸렌 또는 스테인리스강과 같은 내화학성 컨테이너 내에 수납되는 마그넷(168)을 포함한다. 받침대(130)의 단열 블록(140) 바로 아래에 위치되는 스틸 링(170), 및 단열 블록을 구비하는 구동 샤프트(172)는, 받침대의 상부 부분의 단열 블록 위에 위치되는 다른 마그넷(174)에 회전 에너지를 전달한다. 스틸 링(170), 구동 샤프트(172) 및 제2 마그넷(174) 또한 내화학성 컨테이너 내에 수납된다. 마그넷(174)은, 도가니(142)를 통해 공정 챔버(102) 내의 지지부(104)에 매립 또는 부착되는 스틸 링 또는 마그넷(176)과 자기식으로 결합되는 받침대(130)의 내부에 위치된다.
회전 기구(164)와 받침대(130) 사이에는 자성 유체 커플링(ferrofluidic coupling)이 제공된다.
상기 이외에도, 웨이퍼 회전 시스템(162)은, 공정 챔버(102) 내의 스틸 링 또는 자석(176)과 받침대(130) 내의 자석(174) 사이에 적절한 보트(106) 위치 및 적절한 자성 커플링을 확보하기 위해 하나 이상의 센서(도시되지 않음)를 추가로 포함할 수 있다. 보트(106)의 상대적 위치를 결정하는 보트 위치 검증 센서가 특 히 유용하다. 일 실시예에서, 보드 위치 검증 센서는 보트(106) 상의 센서 돌출부(도시되지 않음) 및 베이스 판(124) 하부에 위치한 광학적 센서 또는 레이저 센서를 포함한다. 조작 시, 웨이퍼(108)가 초리된 후, 받침대(130)는 베이스 판(124) 아래로 약 3 인치 하강된다. 여기서, 웨이퍼 회전 시스템(162)은 보트 센서 돌출부가 보일 때까지 보트(106)를 회전시키기 시작한다. 그런 다음, 웨이퍼 회전 시스템(162)이 작동되어 웨이퍼(108)가 언로딩될 수 있도록 보트를 정렬시킨다. 이것이 실행된 후, 보트는 로드/언로드 높이까지 하강된다.
도 10 내지 15에 도시된 바와 같이, 본 발명의 인젝터(215)는 열 처리 장치(100-2)에 바람직하게 사용된다. 2개가 도시되어 있는 인젝터(215)는 분배형(distributive) 또는 횡단-흐름(across-flow) 인젝터(215-1, 215-2)로서, 면 상에 인젝터 오리피스(180)를 통해 공정 가스 또는 증기가 도입되고, 층류(laminar flow) 상태로 웨이퍼(108)의 표면을 가로질러 라이너(181)의 배출 포트 또는 슬롯(182)으로 유동시킨다. 배출 포트 또는 슬롯(182)은 웨이퍼(108)의 중심을 통해 제1 인젝터(215-1)에 대해 각도 ψ 및 ψ'로 위치한 두 가지 경로의 배출 포트 또는 슬롯(182-1, 182-2)으로 도시되어 있다. 수직으로 변위된 배출 포트 또는 슬롯(182)의 코스의 수는 하나, 둘 또는 그 이상이고, 그 각각은 각도 ψn을 정의하고, 여기서 n은 배출 포트 또는 슬롯(182-n)의 코스의 수이고, 각도 ψ는 각각의 순차적인 코스가 ψ<ψ'<ψ2…ψn이 되도록 증가되는 것으로 이해된다. 바람직하게는, 배출 포트 또는 슬롯의 수직 코스는 1개 내지 3개가 있다. 배출 슬롯(182- 1, 182-2)이 각각 약 115도 및 235도로 각도 ψ 및 ψ1로 도시되어 있지만, 본 발명의 라이너는 여러 가지 다른 각도로 배출 포트 또는 슬롯(182)의 코스로 용이하게 형성된다. 또한, 도 12 내지 15에 도시된 (182-1) 및 (182-2)가 동일한 폭의 슬롯으로 도시되어 있지만, 선형으로 형성되는 배출 포트 또는 슬롯의 코스의 치수는 선택적으로, 도 12 내지 15에 도시된 것과 다르게 만들어지고, 임의의 다른 배출구 또는 술롯의 코스에 상대적으로 변동되는 것으로 이해된다. 또한, 원형 또는 다른 비-직선 형상의 포트가 주어진 배출 코스에서의 슬롯과 함께 또는 대신에 형성된다. 횡단-흐름 인젝터(215-1, 215-2)는 이전의 가스 유동 구성에 비해 공정 가스 또는 증기의 분배를 향상시킴으로써 웨이퍼(108)의 배치 내의 웨이퍼 균일성을 향상시킨다. 바람직하게는, 인젝터 오리피스(180)는 본 발명의 라이너에서 웨이퍼 군 내 웨이퍼 수직 위치와 무관하게, 표면을 가로질러 유사한 유체 유동을 각각의 웨이퍼(108)가 수용하는 것을 촉진하도록 웨이퍼 지지체 위치와 정렬된다.
또한, 횡단-흐름 인젝터(215)는 웨이퍼들(108) 사이를 강제 대류 냉각시키는 가스(예컨대, 헬륨, 질소, 수소)의 주입을 포함하여 반응성 유체 가스 또는 증기의 전달 이외의 목적을 수행할 수 있다. 횡단-흐름 인젝터(215)를 사용함으로써, 종래 기술의 가스 유동 구성과 비교할 때, 웨이퍼의 스택의 저부, 상부 또는 중앙 중 어디에 설치되어 있건간에 웨이퍼(108)간의 냉각이 보다 균일해진다. 바람직하게, 인젝터(2150 오리피스(180)는 웨이퍼를 가로질러 온도 구배가 커지지 않도록 하는 방식으로 웨이퍼(108)간의 강제 대류 냉각을 촉진하는 분무 패턴을 제공하도록 사 이즈, 형상 및 위치가 설정된다.
도 11은 도 10"의 열 처리 장치(100)의 일부에 대한 단면 측면도로서, 라이너(181)에 상대적으로 인젝터 오리피스(180) 및 웨이퍼(108)에 상대적으로 배출 포트 또는 슬롯(182)의 일부를 예시한다.
도 12는 도 10의 선분 A-A를 따라 절취한 도 10의 열 처리 장치(100-2)의 일부의 평면도로서, 1차 및 2차 인젝터(215-1, 215-2)의 오리피스(180-1, 180-2)로부터 예시적인 하나의 웨이퍼(108)를 가로질러, 일 실시예에 따른 배출 슬롯(182-1, 182-2)까지의 층류형 가스 유동을 나타낸다. 도 10 및 11에 도시된 배출 슬롯(182)의 위치는 열 처리 장치의 단일 단면도에서 배출 포트 또는 슬롯 및 인젝터(215-1)를 나타낼 수 있도록 각도 ψ를 따라 도시되어 있음을 알아야 한다. 또한, 웨이퍼(108) 및 챔버 라이너(181)에 상대적인 인젝터(215-1, 215-2) 및 배출 슬롯(182-1, 182-2)의 치수는 인젝터로부터 배출 슬롯까지의 가스 유동을 보다 명확히 나타내기 위해 과장되었음을 알아야 한다.
도 12에 도시된 바와 같이, 인젝터(215-1)로부터의 공정 가스 또는 증기는 웨이퍼(108) 방향을 향하고 있지만, 인젝터(215-2)는 공정 가스 또는 증기가 웨이퍼에 도달하기 전에 혼합이 촉진되도록 하기 위해 웨이퍼(108)로부터 이격되어 라이너 쪽으로 향하고 있다. 0도인 각도 α는 오리피스(180-1)와 웨이퍼(108)의 중심 사이로 연장되는 인젝터(215-1)의 중심을 통해 한정된다. 마찬가지로, 180도인 각도 β는 오리피스(180-2)와 웨이퍼 중심 사이의 인젝터(215-2)의 중심 주위에 한정된다. 이러한 오리피스(180-1, 180-2)의 구성은 분자량이 크게 변동되는 여러 가지 반응물이, 예를 들어 다성분 막 또는 층을 형성하도록, 1차 및 2차 인젝터(215-1, 215-2) 각각으로부터 도입되는 프로세스에서 특히 유용하다.
도 13은 도 10의 선분 A-A를 따른, 도 10의 열 처리 장치(100-2)의 일부에 대한 평면도로서, 1차 및 2차 인젝터(215-1, 215-2)의 오리피스(180)로부터, 예시적인 하나의 웨이퍼(108)를 가로질러 배출 슬롯(182-1, 182-2)까지 교대하는 가스 유동 경로를 나타내며, 여기서 각도 α 및 β는 모두 180도이다.
도 14는 도 10의 선분 A-A를 따른, 도 10의 열 처리 장치(100-2)의 일부에 대한 또 다른 평면도로서, 1차 및 2차 인젝터(215-1, 215-2)의 오리피스(180)로부터, 예시적인 하나의 웨이퍼(108)를 가로질러 배출 슬롯(182-1, 182-2)까지 교대하는 가스 유동 경로를 나타내며, 여기서 각도 α 및 β는 모두 75도이다.
도 15는 도 10의 선분 A-A를 따른, 도 10의 열 처리 장치(100-2)의 일부에 대한 또 다른 평면도로서, 1차 및 2차 인젝터(215-1, 215-2)의 오리피스(180)로부터, 예시적인 하나의 웨이퍼(108)를 가로질러 배출 슬롯(182-1, 182-2)까지 교대하는 가스 유동 경로를 나타내며, 여기서 각도 α 및 β는 모두 0도이다.
도 16은 또 다른 실시예에 따른 2개 이상의 상향 흐름(up-flow) 인젝터(116-1, 116-2)를 가진 열 처리 장치(100-3)의 단면도이다. 이 실시예에서, 공정 챔버(102) 하부에 각각의 배출 오리피스를 가진 프로세스 인젝터(116-1, 116-2)로부터 유입된 공정 가스 또는 증기가 상향하여 웨이퍼(108)를 가로질러 유동하고, 폐가스는 라이너(120)의 상부에서 배출 슬롯(182)을 빠져나간다. 상향 흐름 인젝터 시스템은 도 1에도 도시되어 있다.
도 17은 또 다른 실시예에 따른 하향 흐름(down-flow) 인젝터 시스템을 가진 열 처리 장치(100-4)의 단면도이다. 이 실시예에서, 공정 챔버(102) 상부에 각각의 오리피스를 가진 프로세스 인젝터(116-1, 116-2)로부터 유입된 공정 가스 또는 증기가 하향하여 웨이퍼(108)를 가로질러 유동하고, 폐가스는 라이너(120')의 하부에서 배출 슬롯(182)을 빠져나간다.
유리하게는, 포괄적으로 번호 215가 부여된 인젝터(116) 및/또는 라이너(120, 또는 181)는, 공정 존(128)로부터 공정 가스의 주입 및 배출 지점이 상이한 다른 인젝터 및 라이너로 신속하고 용이하게 교체된다. 당업자라면, 도 10에 도시된 횡단-흐름 인젝터(215)의 실시예가 공정 챔버(102) 내의 유동 패턴이 신속하고 용이하게 바뀔 수 있도록 함으로써 프로세스 융통성을 어느 정도 증가시킨다는 것을 이해할 것이다. 이것은 유동 형태를 횡단-흐름으로부터 상향 흐름 등으로 변환함과 아울러, 웨이퍼 기판 위에서 횡단-흐름 유체 경로를 조절하도록, 설치가 용이한 인젝터 어셈블리(215) 및 라이너(181)의 사용을 통해 달성될 수 있다. 인젝터의 위치, 각도(인젝터(215-1)에 대해 α), 직경 및 상대적 개수는 용이하게 변경된다. 도 2에 상세히 나타낸 베이스 판에는, 배출 포트 또는 슬롯 및 존재할 경우 다른 인젝터에 대한 인젝터의 위치 변화가 용이하도록 인젝터를 수용하게 되어 있는 일련의 구멍(aperture)이 제공되어 있다. 다른 인젝터에 상대적인 인젝터의 위치에서 고려할 대표적 인자는 처리 조건 하에서 인젝터를 빠져나가는 유체의 반응 속도이다. 웨이퍼 중심과 일련의 인젝터 오리피스의 중심 사이의 인젝터 중심을 통해 한정되는 인젝터 각(injector angle)은, 도 12~15에서 인젝터(215-1)에 대 해 각도 α로 표시된 것으로, 라이너 또는 베이스 판에서 보완적 노치(complementary notch)를 구비한 인덱스 핀(index pin)이 자리잡도록 인젝터 회전을 통해 조절된다. 인젝터 오리피스 회전각의 조절도 선택적으로, 원주형 클램프 링, 인젝터를 동시에 체결하는 키, 라이너 베이스 및 마찰 피트(fit)의 사용을 통해서와 같이 기밀 밀봉을 형성할 수 있는 종래의 튜브 고정 기술로 달성되는 것으로 이해된다.
직경이 상이한 인젝터는, 인젝터 외경이 본 발명의 라이너를 체결하는 베이스(124)에 있는 기존의 개구의 직경보다 큰 경우에, 확대된 인젝터의 외경을 수용하도록 인젝터 체결 개구(125)의 확대를 통해 수용된다. 이와는 달리, 인젝터는 베이스 개구(125)를 체결하도록 되어 있는 직경으로 경사를 이룬다. 커플링(128)은 선택적으로 인젝터의 베이스에 융합되거나, 콜릿(collet)으로서 형성된다. 개구의 크기를 바꾸지 않고 상이한 직경의 인젝터를 수용하는 형태는 도 45와 관련하여 보다 구체적으로 제시되어 있다.
시각적으로 도면에 명확히 도시된 바와 같이, 하나 또는 2개의 인젝터보다 많은 소정 개수의 인젝터가, 부가적 인젝터와 유체로 연통되도록 하는 부가적 하드웨어 및 피팅(fitting)을 제공함과 아울러 라이너 베이스 내에 부가적 개구를 형성함으로써 용이하게 수용된다. 인젝터와 결합되지 않은 베이스 판 내의 개구는 석영 또는 본 발명의 라이너 내에서 일어나는 반응 공정에 적합한 다른 재료로 형성된 플러그(도시되지 않음)로 밀봉된다.
웨이퍼 군 상에 실행되는 주어진 반응 프로세스에 걸친 부가적 제어는, 하나 이상의 인젝터를 각각 수용하는 하나 이상의 돌출부, 배출 포트 또는 슬롯의 수직 코스의 수, 제1 인젝터와 라이너에서의 배출 포트 또는 슬롯의 수직 코스 사이의 각도 관계(여기서 수직 코스는 배출구, 배출 슬롯 또는 이들의 조합으로 이루어짐), 수직으로 변위된 배출구들 사이의 수직 높이와 간격, 및 인젝터의 총수를 포함하는 특성(attribute)이 변동되는 본 발명의 라이너의 교환을 통해 일어난다.
인젝터(116, 215) 및 라이너(120 또는 181)는 분리된 구성 요소일 수 있고, 또는 인젝터가 단일품으로서 라이너와 함께 일체로 형성될 수 있다. 후자의 예는 특히 공정 챔버(102) 구성을 빈번하게 변경하는 것이 소망되는 응용 분야에서 유용하다.
열 처리 장치(100, 또는 100' 또는 100")를 조작하는 방법 또는 프로세스의 예가 도 18을 참조하여 기술된다. 도 18은 웨이퍼(108)의 배치를 열 처리하는 방법의 단계를 나타내는 프로차트로서, 웨이퍼 군의 각 웨이퍼는 원하는 온도로 신속하고 균일하게 가열된다. 상기 방법에서, 받침대(130)가 하강되고, 받침대(130)가 하강하는 동안, 저부 가열 부재(112-1)로부터의 열을 받침대(130)로 반사하여 온도를 유지함으로써, 마감 처리된 웨이퍼(108)를 단열시키기 위해, 열 차폐물(142)이 정위치 내로 이동한다(단계 190). 선택적으로, 공정 챔버(102)를 밀봉 또는 격리하도록 셔터(158)가 정위치로 이동하고(단계 92), 중간 또는 대기 온도까지 공정 챔버(102)의 예열을 시작하거나 그 온도로 유지하도록 가열 부재(112-2. 112-3)에 파워가 인가된다(단계 194). 새 웨이퍼(108)가 로딩된 캐리어 또는 보트(106)는 받침대(130) 상에 설치된다(단계 196). 받침대(130)는 보트를 공정 존(1280에 위 치시키도록 상승되고, 동시에 셔터(158), 열 차폐물(146)이 제거되고, 웨이퍼를 중간 온도까지 예열하기 위해 저부 가열 부재(112-1)가 가열된다(단계 197). 열 차페물(146)은 보트(106)가 공정 존(128)에 위치하기 직전에 제거되는 것이 바람직하다. 공정 가스 또는 증기와 같은 유체는 복수의 주입구9180)를 통해 웨이퍼(108)의 한쪽에 도입된다(단계 198). 유체는 주입구(180)로부터 웨이퍼(108)의 표면을 가로질러 주입구에 대해 웨이퍼의 반대쪽의 라이너(120)에 위치한 배출구(182)로 흐른다(단계 199). 선택적으로, 보트(106)는, 웨이퍼를 열 처리하는 동안 이동시키기 위해 기계적 에너지를 받침대(130)를 통해 캐리어 또는 보트(106)까지 자기 방식으로 연결함으로써, 열 처리의 균일성을 더욱 높이기 위해 웨이퍼(108)의 배치를 열 처리하는 동안 공정 존(128) 내에서 회전될 수 있다(단계 200).
또 다른 실시예에 따른 열 처리 장치(100)에 대한 방법 또는 프로세스를 도 19를 참조하여 설명하기로 한다. 도 19는 캐리어 내의 웨이퍼(108)의 배치를 열 처리하는 방법에 대한 실시예의 단계를 나타내는 플로차트이다. 상기 방법에서, 내부에 고정된 웨이퍼(108)와 함께 캐리어(106)를 수납하는 데 필요한 것(가드 히터는 부재)보다 실질적으로 더 크지 않은 치수와 체적의 공정 챔버(102)를 가진 장치(100)가 제공된다(단계 202). 받침대(103)가 하강되고, 보트(106)는 그 내부에 웨이퍼(108)가 고정된 상태로 받침대 상에 설치된다(단계 202). 보트를 공정 챔버(102)에 삽입하기 위해 받침대(130)는 상승되고, 동시에 웨이퍼(108)는 중간 온도로 예열된다(단계 204). 공정 챔버(102)의 상부 벽9134), 측벽(136) 및 저부 벽(138) 중 적어도 하나에 근접하게 설치된 가열 부재(112-1, 112-2. 112-3)에 파 워가 인가되어 공정 챔버의 가열이 시작된다(단계 206). 선택적으로, 가열 부재 중 적어도 하나에 대한 파워는 공정 챔버(102) 내 공정 존(128)에서의 원하는 온도에서, 실질적으로 등온 환경을 제공하도록 독립적으로 조절된다(단계 208). 웨이퍼(108)가 열처리 완료되고, 공정 존(128)에서의 원하는 온도를 유지하는 동안, 받침대(130)는 하강되고, 마감 처리된 웨이퍼(108)를 단열시키고 저부 가열 부재(112-1)로부터의 열을 반사하여 받침대(130)로 되돌림으로써 온도를 유지시키도록 열 차폐물(142)은 소정 위치로 이동된다(단계 210). 또한, 선택적으로, 셔터(158)는 공정 챔버(102)를 밀봉 또는 격리시키는 위치로 이동되고, 공정 챔버의 온도를 유지하기 위해 가열 부재(112-2, 112-3)에 파워가 인가된다(단계 212). 이어서, 보트(106)는 받침대(130)로부터 제거되고(단계 214), 처리할 웨이퍼의 새 배치가 로딩된 또 다른 보트가 받침대(130) 상에 설치된다(단계 216). 셔터(158)는 위치 조정 또는 제거되고(단계 218), 열 차폐물은 보트(106) 내의 웨이퍼(108)를 중간 온도로 예열하기 위해 제거되거나 위치 조정되고, 동시에 웨이퍼의 새 배치를 열 처리하기 위해 보트를 공정 챔버(102)에 삽입하기 위해 받침대(130)를 상승시킨다(단계 220).
공정 가스의 속도 및 확산 제어를 증가시키기 위해서 일반적으로 다단 라이너들이 사용된다. 이들은 웨이퍼 내 균일성을 향상시키기 위해서도 사용된다. 불행하게도, 다단 라이너는 하향 적층체 결핍(down-the-stack-deplection) 문제를 해소하지 못하는데, 이는 모든 분사 가스가 적층체를 따라서 모든 표면을 흘러가도록 하는 단일 분사 지점에 의해 발생된다. 종래의 가열로에서, 상기 하향 적층체 결 핍문제는 해소된다. 그러나, 최소 저항을 갖는 유로가 웨이퍼들 사이에서가 아니라 웨이퍼 캐리어와 라이너 내벽 사이의 갭 영역에서 생성될 수 있다. 이러한 최소 저항 유로는 제조 공정에 좋지 않은 소용돌이나 정체를 야기한다. 가열로에서의 소용돌이 및 정체는 특정 공정에서 웨이퍼를 횡단하는 방향에서의 불균일성을 생성할 수 있다.
본 발명은 캐리어 내에 지지된 각각의 기판의 표면을 횡단하는 일정한 기체의 흐름을 제공함으로써, 웨이퍼 내의 균일성을 상당히 향상시키는 횡단-흐름 라이너를 제공한다. 일반적으로, 본 발명의 횡단-흐름 라이너는 횡단-흐름 분사시스템을 수용하는 길이 방향의 돌출부(bulging section)를 포함하여, 라이너는 웨이퍼 캐리어에 부합하는 패턴 및 크기를 가질 수 있다. 라이너와 웨이퍼 캐리어 사이의 간격은 상당히 줄어들 수 있고, 따라서 종래 노(爐)에서 발생되는 와동(vortex)이나 고임(stagnation) 등을 줄일 수 있거나 피할 수 있다.
도 20은 본 발명의 일 실시예에 따른 횡단 유체 라이너(232)를 포함하는 열처리 장치(100-6)를 나타내며, 도면에서 사용된 참조번호는 이전 도면에서 사용된 참조번호에 대응된다. 본 발명에 대한 설명을 단순화하기 위해, 본 발명과 밀접한 관련이 없는 구성요소들은 도면에 도시되어 있지 않다. 일반적으로, 장치(100-6)는, 내부에 웨이퍼(108) 군이 배치된 웨이퍼 보트 또는 웨이퍼 캐리어(106)를 수용하기 위한 서포트(104)를 가지는 처리실(102)을 형성하는 용기(101)를 포함한다. 장치(100-6)는, 열처리를 위해 웨이퍼(108)를 바람직한 온도로 가열하기 위한 열원(heat source) 또는 노 (110)를 포함한다. 웨이퍼(108) 부근에 열처리 기체 또 는 증기가 더욱 집중되도록 하고, 용기(101) 내부면에 형성될 수 있는 박편의 침전으로부터 웨이퍼(108)의 오염을 줄이기 위해 횡단-흐름 라이너가 제공된다. 라이너(232)는 웨이퍼 캐리어(106)의 외형과 부합하는 패턴 및 크기를 가지므로, 하나 이상의 인젝터(215)를 수용하는 돌출부(262)를 통해 웨이퍼 캐리어(106)와 라이너 벽(233) 사이의 간격이 줄어든다. 이러한 돌출부는 선택적으로 다중으로(multiple) 제공되어 각각 하나 이상의 인젝터(215 또는 251)를 수용한다. 이러한 다중 돌출부 라이너가 도 37 내지 도 39에 도시되어 있다. 도 38은 도 37의 라인 38A 및 38B를 따라 절단된 단면도이고, 도 39는 이동가능한 받침(130)을 가지는 돌출부 영역 베이스의 확대도이다. 라이너(232)는 베이스 판(124)에 장착되고, 이동가능한 받침(130)과 함께 밀봉체를 형성한다.
도 21 및 도 22에 보다 명확히 도시된 바와 같이, 횡단-흐름 분사시스템(250)은 라이너(232)의 기다란 돌출부(262) 내에 배치된다. 분사시스템(250)은 하나 이상의 인젝터(215-1 또는 251)를 포함한다. 다중 인젝터가 제공된다면, 각각의 인젝터의 내측 직경은 독립적으로 선택될 수 있다. 기체가 웨이퍼(108) 및 캐리어(106)의 일측으로부터 복수의 분사 오리피스(181 또는 252)를 통해 내부로 도입되어 전술한 바와 같이 층류(laminar flow)의 형태로 웨이퍼의 표면을 횡단하여 흐른다. 바람직하게는, 수직으로의 웨이퍼간(wafer-to-wafer, WTW) 기체 흐름의 균일성을 향상시키고 결과적으로 웨이퍼간 열처리 균일성을 향상시키기 위해 오리피스(181 또는 252)는 캐리어(106)의 지지 위치에서 웨이퍼(108)와 정렬된다. 도 26 및 도 27에 대해 보다 자세히 후술하겠지만, 주어진 오리피스(181 또는 252) 의 면적 및 형태는 주어진 인젝터(215-1 또는 251)를 가진 인접한 오리피스와 독립적이다. 도 22, 23 및 28 내지 30에 도시된 바와 같이, 복수의 배기포트 또는 배출 슬롯(254)의 수직 진로가 기다란 돌출부(262)로부터 대략 180도 이격되어 라이너(232)에 형성되어, 각도 ψ는 170도가 된다. 배기포트 또는 배출 슬롯(254)의 크기 및 패턴은 사전에 결정되고, 바람직하게는 횡단 흐름을 촉진시키도록 분사 오리피스(181 또는 252) 사이의 간격 및 분사 오리피스(181 또는 252)의 개수와 공조한다. 제2, 제3, 또는 그 이상의 배기포트 또는 배출 슬롯(254)의 수직 통로가 돌출부(262)의 위치와 상이한 위치에서 라이너 벽(233)을 관통한다. 도 20 내지 22는 배기포트 또는 배출 슬롯(254)의 수직 진로를 도시하고 있으며, 일정한 면적을 가지며 직선으로 둘러싸인 슬롯은 오리피스 및 웨이퍼 기판과 정렬되어 있다. 각각의 배기포트 또는 배출 슬롯의 면적 및 형상은 동일한 진로 내의 다른 배기포트 또는 배출 슬롯과 독립적이다. 유사하게, 라이너(232)에는, 배기포트 또는 배출 슬롯의 수직 진로, 및 원형, 타원형, 평행사변형 또는 그밖의 기하학적 형태를 가져 총면적 또는 형상이 수직 진로(254)와 다른, 배기포트 또는 배출 슬롯의 제2 진로가 형성된다.
횡단-흐름 라이너는, 고온 및 고진공 작동 상태에서 열적 및 기계적 응력을 견딜수 있으며, 처리 동안 사용되거나 발생되는 기체 및 증기에 의한 부식에 강한 금속, 세라믹, 크리스탈, 또는 유리 재료로 제조될 수 있다. 바람직하게는, 횡단-흐름 라이너는 불투명, 반투명 또는 투명 석영 유리에 의해 제조된다. 일 실시예에서, 라이너는 웨이퍼가 처리되는 처리 영역으로부터 열전도를 줄이거나 제거하는 석영으로 제조된다.
일반적으로, 횡단-흐름 라이너(232)는 폐쇄 단부(258) 및 개방 단부(260)를 가지는 실린더(256)를 포함한다. 실린더(256)에는, 하나 이상의 인젝터(215-1 또는 251)를 포함하는 횡단-흐름 분사시스템(250)을 수용하기 위한 길이 방향의 돌출부(262)가 구비된다. 바람직하게는, 돌출부(262)는 실린더(256)의 길이를 따라 연장된다.
횡단-흐름 라이너(232)는 웨이퍼 캐리어(106) 및 캐리어 서포트(104)의 외형과 부합하는 크기 및 패턴을 갖는다. 일 실시예에서, 리이너는, 웨이퍼 캐리어(106)와 부합하는 크기의 제1 섹션(261) 및 캐리어 서포트(104)와 부합하는 크기의 제2 섹션(263)을 갖는다. 제1 섹션(261)의 직경은 제2 섹션(263)의 직경과 상이할 수 있다. 즉, 라이너(232)는 웨이퍼 캐리어(106) 및 캐리어 서포트(104)와 각각 부합되도록 계단식(stepped)의 형태가 될 수 있다. 일 실시예에서, 라이너(232)의 제1 섹션(261)의 내측 직경은 웨이퍼 캐리어(106)의 외측 직경의 약 104% 내지 110% 가 된다. 다른 실시예에서, 라이너(232)의 제2 섹션(263)의 내측 직경은 웨이퍼 서포트(108)의 외측 직경의 약 115% 내지 120% 가 된다. 제2 섹션(263)에는 O-링(o-ring)과 같은 밀봉체가 가열 요소로부터 과열되는 것을 방지하기 위해 하나 이상의 열 차폐물(264)이 구비된다.
도 23은 횡단-흐름 라이너의 측면도이다. 길이방향의 돌출부(262)는 제1 섹션(261)을 따라 연장되어 있다. 분사 시스템(250)은 돌출부(262)에 수용되며, 캐리어(106)의 높이를 따라 이격되어 있는 웨이퍼(108) 사이에서 횡단-흐름 라이 너(232) 내부로 하나 이상의 기체를 분사한다. 하나 이상의 열 차폐물(264)이 제2 섹션(263)에 선택적으로 구비된다.
도 24는 횡단-흐름 분사 시스템(250)을 수용하기 위해 베이스 판(124)에서 구멍(266)을 둘러싸고 있는 실린더(256)의 개방 단부(263)를 도시하는 횡단-흐름 라이너(232)의 단면도이다. 분사 시스템은 구멍(266) 내부에 고정되는 하나 이상의 분사 튜브(215-1 또는 251)를 갖는다. 도 25에 자세히 도시된 바와 같이, 베이스 판(124)의 구멍(266)은 횡단-흐름 분사 시스템의 방향성 및 안정성을 위해 노치(268)를 가진다. 설명을 위해 3개의 노치(268A, 268B, 268C)가 구멍(266)에 도시되어 있지만, 횡단-흐름 라이너(232) 및 분사 튜브 서로에 대해 360도를 포함하여 임의의 방향으로 분사 튜브가 방향성을 가질 수 있도록, 임의의 개수의 노치가 형성될 수 있다. 노치식 구멍-인덱스 회전각(α) 조정 뿐 아니라, 웨이퍼 중심에 대해 선택된 회전각(α)으로 인젝터를 고정하기 위한 여러 기술에는 둘레방향으로의 클램핑 링, 인젝터 및 라이너 베이스와 동시에 결합되는 키, 및 마찰 결합 등이 포함된다.
도 26을 참조하면, 횡단-흐름 분사 시스템(250)은 웨이퍼의 바람직한 처리면에 수직인 축에 대해 회전 가능한 하나 이상의 기다란 튜브(215-1 또는 251)를 포함한다. PCT/US04/031063호에는 분사 시스템의 일 실시예가 개시되어 있으며, 그 내용은 원용에 의해 본 명세서에 포함된다. 바람직한 실시예에서, 기다란 튜브(251)에는 각각의 기판 표면을 횡단하는 반응물 및 여러 기체를 안내하기 위해 튜브의 길이를 따라 길이 방향으로 분포되어 있는 복수개의 인젝션 오리피스(252) 가 구비된다. 인젝션 오리피스(252)는 인접한 오리피스와 동일한 면적 및 모양을 가진다. 제2 인젝터(252')는 오리피스(252)가 구비된 인젝터(252)와 동일한 내측 직경을 가진다. 각각의 인젝터(251, 251')는 인젝터 축에 대해 독립적으로 회전한다. 바람직하게는, 도 26에 도시된 바와 같이, 인젝터(251)의 오리피스(252) 및 인젝터(251')의 오리피스(252')는 배치 처리 동안 웨이퍼간(WTW) 균일성을 촉진시키기 위해 캐리어에 배치된 웨이퍼와 일치되도록 정렬된다. 선택적으로, 도 27에 도시된 바와 같이, 각 인젝터(251, 251')의 직경이 상이하다. 서로 직경이 상이한 인젝터(251, 251')가 특히 유리한 경우는, 유사한 인젝터 압력을 가지면서 서로 상이한 유량을 가지는 2개의 기체가 횡단-흐름 유로를 통해 웨이퍼 표면에 공급되는 경우이다. 인젝터(251, 251') 간의 상대적인 직경의 차이에 관계없이, 오리피스(252, 252')의 면적 및 모양은 인젝터 길이를 따라 선택적으로 변한다. 수직 방향으로 인젝터 방출 압력의 감소는 인젝터 길이를 따라 상방으로 오리피스의 면적을 증가시킴으로써 보상된다(도 26 및 27은 뒤집어진 사시도이다). 다른 인젝터의 오리피스와 위치를 유지하면서 오리피스의 면적을 증가시키기 위해, 원형의 오리피스는, 선택적으로 직선으로 둘러싸인 형태, 타원형, 또는 이들이 조합된 형태로 형성된다. 바람직하게는, 오리피스는 횡단-흐름을 제공하기 위해 웨이퍼 기판과 정렬된다.
도 26 및 도 27에 도시된 바와 같이, 기다란 인젝터(251)는 구멍(266)의 노치(268) 중 하나에 기다란 튜브를 고정하기 위한 인덱스 핀(253) 및 인덱스 핀과 나란히 형성된 인젝션 포트 또는 오리피스(252)를 포함한다. 따라서, 기다란 튜브 가 설치될 때, 인덱스 핀은 노치(268) 중 하나에 고정되고, 인젝션 포트(252)는 노치(268)에 의해 안내된 방향을 가진다. 튜브(251)의 원단부에 위치하는 표시부를 통해 사용자는 인젝션 포트(252)의 위치를 조정할 수 있다. 이러한 조정은, 용기로부터 횡단-흐름 라이너(232)를 제거하지 않고서도 열처리 이전, 열처리 과정 및 열처리 이후에 수행될 수 있다.
횡단-흐름 라이너(232)의 돌출부(262)는 그 내부에 횡단-흐름 인젝션 시스템(250)을 수용하고, 라이너(232)는 웨이퍼 캐리어의 외형에 부합되게 제조된다. 이렇게 라이너(232)를 웨이퍼 캐리어의 외형에 부합되게 제조함으로써 라이너와 웨이퍼 캐리어 사이의 간격을 줄이고, 라이너 내벽과 웨이퍼 캐리어(106) 사이 영역에서 와동(vortex) 및 고임(stagnation)을 줄여, 기체 흐름의 균일성, 특성 및 침전 필름의 반복 가능성을 향상시킨다. 각각의 돌출부가 하나 이상의 인젝터를 수용하는, 다중 돌출부가 라이너의 주변에 형성될 수 있다.
예를 들면, 기다란 인젝터(251)의 인덱스 핀(253)이 노치(268A)에 수용되어,인젝션 오리피스(252)가 라이너(232)의 내면과 대면하여 도 28에 도시된 바와 같이 각도(α)가 180도를 형성할 수 있다. 이 각도(α)와 이에 대응하는 180도인 각도(β)에 있어서, 인젝션 오리피스(252, 252')를 통과한 기체는 라이너 벽(270)에 부딪히고, 웨이퍼 기판(108)의 표면을 횡단하여 흐르기 전에 돌출부(262) 내에서 혼합된다. 다른 오리피스 방향을 나타내는 도 29에 있어서, 기다란 튜브의 인덱스 핀이 노치(268B)에 수용되어 인젝션 오리피스(252, 252')는 서로 대면하여 배치된다. 이러한 오리피스 방향에 있어서, 인젝션 포트(252, 252')를 통과한 기체는 서 로 부딪히고, 웨이퍼 기판(108)의 표면을 횡단하여 흐르기 전에 돌출부(262) 내에서 혼합된다. 또 다른 오리피스 방향을 나타내는 도 30에 있어서, 기다란 인젝터의 인덱스 핀이 노치(268C)에 수용되어 인젝션 오리피스(252, 252')는 웨이퍼 기판(108)의 중심과 대면하여 각도(α, β)는 0도가 되며, 직접 웨이퍼 기판(108)을 횡단하여 기체가 흐른다. 각도(α, β)는, 기체 분자 중량, 반응 활성화 에너지, 작동 온도, 가스 유량, 및 작동 압력을 포함하는 인자들과 관련된 단일의 웨이퍼 기판 표면의 상태(topography)의 변화를 기초로 손쉽게 결정된다. 도 28 내지 30은 인젝터(251, 251') 모두가 동일한 각도(α, β)로 회전된 상태를 도시하고 있지만, 각각의 인젝터는 각도(α, β)가 서로 상이하게 독립적으로 회전될 수 있다.
도 31 내지 36은 동일한 각도(α, β,θ, ψ)를 가지며, 돌출부의 유무에 따른 도 20 내지 25의 라이너 내부에서 웨이퍼 기판의 표면을 횡단하는 가스 흐름 라인을 나타내는 입자 궤적 도면이다. 이 도면들은 인젝션 포트로부터 배출 슬롯까지 매우 불균형의 흐름 상태인 입자 궤적(272)을 도시하고 있다. 제1 인젝터 오리피스(252', 왼쪽에 위치함)의 유동 모멘트는 제2 인젝터 오리피스(252, 오른쪽에 위치함)의 10배 정도 크다. 도 31, 33 및 35에 도시된 바와 같이, 본 발명의 횡단-흐름 라이너는 원형 대칭인 라이너와 비교할 때 기판의 표면을 횡단하는 균일한 기체 흐름을 촉진시키는 돌출부를 가진다. 독창적인 횡단-흐름 라이너의 돌출부는 각도(α)가 0 보다 클 때 웨이퍼 표면을 횡단하는 흐름이 있기 전에 인젝션 오리피스를 통과하는 기체를 위한 혼합실을 제공한다. 반대로, 도 32, 34 및 36에 도시된 바와 같이, 돌출부가 없는 라이너에 있어서, 동일한 조건 하에서 웨이퍼 기판의 표면을 횡단하는 기체의 흐름은 보다 덜 규칙적이지만, 여러 가지 반응 구성에는 적합할 수 있다.
도 37 내지 도 39를 참조하면, 독창적인 라이너(332)가 도시되어 있으며, 도 23 내지 25에 기재된 참조부호와 대응되도록 참조부호가 표시되어 있다. 라이너(332)는 돌출부(274, 274')에 2개 이상의 인젝터(251, 251')를 수용하기 위해 하부 베이스 판에 2개 이상의 구멍을 가진다. 구멍(274')의 치수는, 도 27에 도시된 바와 같이, 구멍(274) 내에 수용되는 크기를 가진 인젝터(251)의 외측 직경과 상이한 외측 지경을 가진 제2 인젝터(251')를 수용하기 위해 구멍(274)에 대해 손쉽게 변화된다. 구멍(274, 274')은 인젝터의 높이를 완전히 수용하고 각각의 인젝터(251, 251')를 분리시키기 위해 돌출부(262)의 길이 방향으로 연장된다. 여기서, 동일한 참조부호는 이전 도면에 사용된 참조부호에 대응된다. 도 38 및 39는 2개의 인젝터(251, 251')를 도시하고 있고, 각각의 인젝터는 개별 돌출부(274. 274') 내부에 각각 수용되고, 웨이퍼 중심에 대해 각도를 형성하고, 제3 또는 그 이상의 인젝터가 라이너(332) 내의 개별 돌출부 내에 손쉽게 수용된다. 추가로, 웨이퍼 중심에 대한 인젝터(251, 251') 사이의 각도(θ) 역시 도 38에 도시된 바와 같이 15도를 넘어서 손쉽게 변경된다.
도 46, 47, 50, 51에 상세히 도시한 바와 같이, 각도 θ는 일반적으로 5도 내지 310도 사이에서 변화된다. θ가 100도 내지 140도 사이인 경우, 100도 내지 140도 사이의 각도 Ψ로 이격되는 하나 코스의 배출 포트 또는 슬롯에 의해 특히 효율적인 유동 패턴이 형성된다. θ가 150도 내지 210도 사이인 경우, 80도 내지 100도 사이의 각도 Ψ 및 260도 내지 280도(-80도 내지 -100도) 사이의 각도 Ψ'로 이격되는 2개의 코스의 배출 포트 또는 슬롯에 의해 특히 효율적인 유동 패턴이 형성되어, 인젝터 및 배기 코스가 도 46 또는 50에 도시된 것처럼 산재되도록 한다. 바람직하게, θ는 170도 내지 190도 사이이다. 마찬가지로, 각도 Ψ는 제1 인젝터(251)와 배출 포트 또는 슬롯의 각도상 가장 근접하여 수직으로 연장되는 코스 사이의 웨이퍼 중심을 통해 가장 근접한 각도로서 정의된다. 165도의 각도 Ψ가 도 38에 도시되었으며, 각도 Ψ는 30도 내지 270도 사이의 본 발명에 따라 미리 변화되며, 도 47, 50-53에 보다 상세히 도시하였다. 수직 코스(254)에 비해 배출 포트 또는 슬롯의 추가적인 코스가 전체 면적이 상이하거나, 원, 타원, 평행사변형, 또는 다른 기하학적 형태와 같은 상이한 형상인, 직선형 슬롯인 본 발명의 라이너에 선택적으로 형성된다.
도 40 및 도 41은 돌출부(262) 내에 h-튜브 오리피스 인젝터를 수용하는 라이너(23)를 도시하며, 유사한 도면부호는 도 20 내지 도 30에 대한 도면부호에 대응한다. h-튜브는 라이너(232)의 제2부(263) 내의 기다란 인젝터(276)에 연결된다. 두 가지 가스는 각각 기다란 튜브(276)와 h-튜브에 도입되고, 분사 오리피스(252)로부터 나오기 전에 기다란 튜브(276)에서 사전 혼합된다.
작동시에, 진공 시스템은 반응 챔버(102) 내에 감소된 압력을 발생시킨다. 감소된 압력은 용기(101)의 수직 방향으로 작용한다. 횡단-흐름 라이너(181 또는 232)는 횡단-흐름 라이너 내에 부분 압력을 발생시키기 위해 감소된 압력에 대응하여 작동한다. 부분 압력은 수평 방향으로 또한 각각의 웨이퍼 기판(108)의 표면을 가로질러 작용한다. 가스 스트림은, 2개 이상의 그러한 인젝터가 존재함과 동시에 존재하는 각각의 오리피스 인젝터(215-1 또는 251)를 통해 도입된다. 복수개의 인젝터가 존재하면, 인젝터는 5와 310도 사이의 각도 θ만큼 분리되며, 선택사항으로서 각도 α 및 β를 독립적으로 조절할 수 있다. 오리피스(180 또는 252)로부터 방출되는 가스는 웨이퍼(108)의 한쪽에서 나오고, 층류로서 웨이퍼(108)를 가로질러 포트 또는 슬롯(121 또는 254)으로 또한 웨이퍼 캐리어(106)에 의해 지지되는 2개의 인접 웨이퍼(108)들 사이를 통과한다. 제1 배출 포트 또는 슬롯은 30 내지 270도의 각도 Ψ만큼 제1 인젝터로부터 분리된다.
도 42는 도 28의 횡단 흐름 라이너를 포함하는 열처리 장비에 대하여 진행한 컴퓨터를 이용한 유체 역학 (CFD) 분석 결과이다. 여기서, 두 개의 분사관(injection tuv으로 유입되는 기체는 각각 75 sccm의 BTBAS (bis-tertbutylamino silane)와 암모니아(NH3)이다.
도 43은 도 29의 횡단 흐름 라이너를 포함하는 열처리 장비에 대하여 진행한 컴퓨터를 이용한 유체 역학 (CFD) 분석 결과이다. 여기서, 두 개의 분사관으로 유입되는 기체는 각각 75 sccm의 BTBAS (bis-tertbutylamino silane)와 암모니아(NH3)이다.
도 44는 도 30의 횡단 흐름 라이너를 포함하는 열처리 장비에 대하여 진행한 컴퓨터를 이용한 유체 역학 (CFD) 분석 결과이다. 여기서, 두 개의 분사관으로 유입되는 기체는 각각 75 sccm의 BTBAS (bis-tertbutylamino silane)와 암모니아(NH3)이다. 도 44는 우수한 웨이퍼 횡단(cross-wafer) 속력을 나타내고 있다.
본 발명의 보다 상세한 설명을 위하여, 투시 단면도를 도시한 도 46과, 도 46에서 특징적인 라이너(310)에 대한 아랫방향 평면도인 도 47을 참조하여 설명하기로 한다. 여기서, 앞서 언급된 도면에서의 도면 번호와 각도는 동일하게 적용된다. 상기 라이너(310)는 180도의 각도 θ를 가지는 제1 인젝터(251)와 제2 인젝터(251')을 구비한다. 본 발명에 의한 다양한 라이너의 구조에 있어서, 상기 각도 θ는 5도 내지 310도의 범위에서 변화될 수 있는 것으로 이해되어야 한다. 또한 상기 라이너(310)는, 제1 인젝터(251)과 각각 90도의 각도 ψ 및 270도(-90도)의 각도 ψ'를 가지며 대향하는 두 수직 경로(course)의 배출 포트 또는 슬롯(254, 254')을 구비한다. 바람직하게는, 웨이퍼 기판(108)과 마찬가지로, 상기 오리피스(252')는 대응되는 오리피스(252)와 정렬되지만, 배출 포트 또는 슬롯의 크기, 정렬, 및 형태는 웨이퍼 대 웨이퍼(wafer to wafer) 및 웨이퍼 내에서의 고른 처리를 위해서 크게 중요한 것은 아니다. 상기에서 도 47에서 도시한 두 수직 경로의 배출 포트 또는 슬롯(254, 254')은 상기 경로의 상부로 올라갈수록 크기가 증가하며, 주어진 배출 포트(254, 254')의 높이는, 상기 라이너(310)의 내부에 설치된 웨이퍼 캐리어 상에 위치하는 하나 내지 다섯 장의 웨이퍼 기판(108)을 둘러싸는 높이 이상이 될 수 있을 만큼 충분히 크다. 상기의 수직 경로의 배출 포트 또는 슬롯(254, 254')은 상기 라이너(310)의 수직선상에서의 주어진 평면에서 크기와 모양이 선택적으로 변화될 수 있다. 상기 오리피스의 각도는 상기 인젝터(251)의 웨이퍼 중심에 대해서는 3도의 각도 α이고, 인젝터 오리피스(242)에 대해서는 5도의 각도 β이며, 각각의 각도 α와 β는 0도 내지 360도의 범위에서 다른 각도로 독립 적으로 조정될 수 있다고 이해되어야 한다. 결과적인 유체 패턴을 컴퓨터를 이용한 유체 역학 분석을 통해 테스트하는 능력과 마찬가지로, 각도 α와 β를 결정하는 관련 요소들은 여기에서 상세히 설명되고 있다.
도 46과 도 47에 도시된 라이너에 대한 역방향 횡단 흐름 구조는 웨이퍼 기판 상에 재료 물질을 적층하기 위해 매우 반응성이 좋은 화학 물질을 사용하는데 있어서 상당히 유리하다. 이들 매우 반응성이 좋은 물질로 대표적인 것들은 산소, 수산기(hydroxyl), 수소, 및 질소 등의 라디칼(radical) 들이다. 라디칼을 이용한 대표적인 화학 반응은 산소 및/또는 수산기 라디칼에 노출됨으로 인한 웨이퍼 재료로부터의 산화막 성장을 포함한다. 유사하게, 질소 라디칼은 질소를 실리콘 산화막이나 높은 유전자상수(k)의 산화막과 같은 산화막으로 유입시켜서 최종적인 산화막의 물리적 및/또는 전기적 특성을 향상시키기 위해 사용된다. 수소 또는 중수소(deuterium) 라디칼은 일반적으로 구리 막을 열처리하거나 다른 방식으로 처리하는데 사용된다. 이러한 화학 물질의 높은 반응 특성으로 인해, 웨이퍼 내에서의 균일성을 유지하기 위해서는, 제 1 반응 물질과 다른 물질과의 반응을 위해 혼합에 앞서서 웨이퍼 기판을 가로지르는 반응물들의 균일한 흐름을 제공할 필요가 있다. 웨이퍼 표면을 가로지르는 반응물의 균일한 흐름의 제공하는 대신에, 상기 웨이퍼 기판으로부터 떨어진 영역, 즉 정지한 기판에 대해서는 맨 끝 모서리 영역이고 회전하는 기판에 대해서는 중앙 영역에서의 반응물 결핍(depletion) 방법을 사용할 수도 있다.
도 48은 도 46 및 도 47의 상기 라이너(310)를 포함하는 반응기에서 인젝 터(251)로부터 방출된 산소와 반응기(251')로부터 방출된 수소가 1:1 slm의 비율로 750도에서 전체 압력이 3 torr이고, 웨이퍼 기판과 인젝터 오리피스 간의 간격이 9.5 밀리미터인 조건에 대해, 컴퓨터를 이용한 유체 역학 (CFD) 시뮬레이션 결과를 개략적으로 도시하고 있다. 중앙의 음영 표시한 지역은 상기 인젝터(251, 251')로부터 방출된 수소와 산소 간의 높은 반응율 및 막 형성이 일어나는 지역을 가리킨다. 이와 비교하여, 도 36에 도시된 반응기 구조에서의 수소와 산소 간에 높은 반응율을 나타내는 지역은 도 49에 도시하였으며, 상기 웨이퍼 기판의 중앙 영역에서의 상대적으로 고갈된 반응 영역으로 인해 기인하는 명백한 모서리 효과(edge effect)가 관찰되고 있다.
도 46 및 도 47이 두 개의 인젝터와 두 수직 경로의 배출 포트 또는 슬롯의 외관을 도시하고 있는데, 사용되는 인젝터의 수는 특정한 기판 영역에서의 반응율을 조정하기 위해서 1에서 10의 범위에서 조정될 수 있다고 이해되어야 한다. 상기에서 설명된 실시예들에서의 인젝터의 간격은 라이너의 바깥 둘레에 대해서 일정할 수 있고, 또는 선택적으로 균일하지 않은 인젝터의 분포를 제공할 수도 있다. 마찬가지로, 수직 경로의 배출 포트 또는 슬롯의 수는, 주어진 수평면 내의 배출 포트 또는 슬롯의 면적이 균일 또는 불균일한 상태에 대해서, 1에서 10의 범위에서 변화될 수 있다. 추가적으로, 상기 배출 포트 또는 슬롯의 형태, 면적 및 높이는 단일한 수직 경로 또는 복수개의 수직 경로의 범위에서 조정될 수 있다.
다른 형태의 선호되는 반응기 구조는 인젝터(251)에 대해서 120도의 각도 ψ를 가지는 단일한 수직 경로의 배출 포트 또는 슬롯이다. 상기 인젝터(251)은 제2 인젝터(251')에 대해서 120의 각도 θ를 가지며, 선택적으로 제3 인젝터를 제1 인젝터(251)과 제2 인젝터(251')의 사이에 바람직하게는 θ/2의 위치에 둘 수 있다. 제1 인젝터(251)가 반응성이 높은 제1 물질을 유입시키고, 제2 인젝터(251')가 반응성이 높은 제2 반응 물질을 유입시키는 실시예에서, 바람직하게는 상기 제3 인젝터는 제1 인젝터와 제2 인젝터의 사이에서 상기 높은 반응 영역의 특성을 조정하기 위해서 선택되는 비율로 비활성 가스를 유입시킬 수 있다.
도 50 및 도 51은 도 46 및 도 47에서 도시한 것과 비교하여 각각의 인젝터(251, 251')에 대해 돌출부(322, 322')를 구비한 점만 제외하고는 유사한 라이너(320)를 도시하고 있다. 상기의 다른 도면들에서 사용된 도면 부호와 대응하여 도 50 및 도 51에서도 동일한 도면 부호가 사용된다. 도 50에서 도시한 도 51의 평면은 도 51이 제공되는 단면을 도시하고 있다. 상기 돌출부(322, 322')의 채택과 인젝터(251, 251')의 선택적인 회전으로 인해서, 오리피스로부터 도입된 가스들은 상기 돌출부의 내부로부터 반사되어 상기 반응 물질의 앞에서 상기 유체를 확장하고 다른 형태를 이루며, 라이너(310)을 포함하는 반응기에 대해서 도 46에서 제공된 높은 반응성과 적층 특성을 보이는 영역을 정제한다. 앞서 서술한 본 발명의 실시예에 따르는 라이너와 인젝터 시스템들과 마찬가지로, 인젝터의 크기, 오리피스의 크기, 배출 포트 또는 슬롯의 형태, 크기, 유형 들은 각도 α, β, θ, ψ, 및 ψ'와 마찬가지로 모두 변경 가능한 것이다. 추가적으로, 주어진 라이너 내에 한 개 또는 열 개의 인젝터가 구비될 수 있고, 마찬가지로 하나 또는 열 수직 경로의 배출 포트 또는 슬롯이 구비될 수 있다고 이해되어야 한다.
도 52 및 도 53은 예컨대 웨이퍼 모서리와 주변의 라이너 간에 작은 허용 오차(tolerance)가 요구되는 실시예에 대해서 특히 적합한 라이너와 수직 인젝터 시스템을 도시한다. 여기서, 동일한 도면 번호는 앞서의 도면에서 사용된 것과 대응된다. 라이너(330)는 적어도 하나의 수직 경로의 배출 슬롯 또는 포트(254)를 구비한다. 적어도 하나의 수직 경로의 유입 포트 또는 슬롯(332)이 상기 라이너(330)의 내에 형성된다. 각각의 유입 포트와 슬롯의 크기 및 모양은 인접한 포트 또는 슬롯의 크기 및 모양과 무관하다. 바람직하게는, 각각의 유입 포트 또는 슬롯은 상기 웨이퍼 캐리어(106, 본 도면에서는 보이지 않음)에 의해 지지되는 웨이퍼 기판에 대해 정렬된다. 상기 수직 경로의 배출 포트 또는 슬롯(254)도 마찬가지로 웨이퍼 기판(108)과 정렬되어 진정한 횡단 흐름을 형성하거나, 또는 상기 수직 경로의 배출 포트 또는 슬롯(254)은 웨이퍼 캐리어 상에 탑재된 하나 내지 다섯의 웨이퍼 기판의 수직 높이를 초과하는 높이를 가질 수 있다. 바람직하게는, 상기 배출 포트 또는 슬롯은 상기 오리피스(332, 332')와 정렬된다. 선택적으로는, 상기 배출 포트 또는 슬롯의 면적은 도 53에 도시한 바와 같이, 상기 라이너(330)을 따라서 위로 올라가면서 증가한다. 상보적인 인젝터들(251, 251')이 상기 유입 포트들 또는 슬롯들(332, 332')과 유체 소통이 가능하도록, 상기 유입 포트들 또는 슬롯들(332, 332')에 인접한다. 결과적으로, 인젝터(251)의 오리피스(252)로부터의 방출은 웨이퍼 기판(108)에 인접한 유입 포트 또는 슬롯을 통과하여 상기 라이너(330)를 통해 공급된다. 용기(vessel, 101)는 상기 라이너(330) 뿐 아니라 인젝터(251, 251')도 포함한다. 상기 라이너(330)는 도 54에 도시한 것과 같이 용기(101) 내에 포함되며, 도 53에서는 편의를 위해 생략되었다. 라이너(332)와 웨이퍼 기판(108) 사이의 간격을 줄인 결과로, 선택적인 웨이퍼 회전과 관련된 난류(turbulence)는 감소된다. 도 53 및 도 54에 도시된 각도 α, β, θ, 및 ψ는 각각 0도, 0도, 120도, 및 120도이다. 상기의 각도들은 특별한 반응이나 열 처리 조건을 수용하기 위해서 변화될 수 있는 것으로 이해되어야 한다.
상기에서 기재한 본발명의 특별한 실시예들은 설명 및 도시를 위한 목적으로 제공된 것으로, 비록 본 발명이 상기의 실시예들에 의해 설명되고 도시되었지만, 이로 인해 제한되는 것으로 이해되어서는 안 된다. 이러한 실시예들은 본 발명을 상기 기재한 내용으로 제한하려는 목적으로 쓰인 것은 아니며, 본 발명의 범주 내에서 다양한 수정, 개량, 변형 이 가능할 것이다. 본 발명의 범위는 상기에 기재되고 여기에 이어지는 청구 범위와 그 균등물에 의하는 포괄적인 영역을 포함한다.
상기 내용 참조.

Claims (37)

  1. 밀봉 단부 및 개방 단부를 가지며, 상기 개방 단부를 통해서 복수의 웨이퍼 지지 위치를 갖는 웨이퍼 캐리어 군(batch)을 수용할 수 있는 실린더; 및
    축 방향으로 정렬되는 오리피스의 제1 열을 포함하고, 제1 인젝터 높이 및 제1 수직축을 정의하는 제1 인젝터를 포함하는 횡단-흐름 라이너로서,
    상기 실린더는 복수의 수직으로 배치되는 배출 포트 또는 슬롯을 포함하고,
    상기 제1 인젝터는 제1 유체 공급원과 결합되고,
    상기 축 방향으로 정렬되는 오리피스의 제1열 각각은 상기 복수의 웨이퍼 지지 위치 중 하나와 정렬되는 횡단-흐름 라이너.
  2. 제1항에 있어서,
    축 방향으로 정렬되는 오리피스의 제2열을 포함하며, 제2 인젝터 높이 및 제2 수직축을 정의하는 제2 인젝터를 추가적으로 포함하며,
    상기 축 방향으로 정렬되는 오리피스의 제2열 각각이 상기 복수의 웨이퍼 지지 위치 중 하나와 정렬되도록, 상기 제2 인젝터가 제2 유체 공급원과 결합되는 것을 특징으로 하는 횡단-흐름 라이너.
  3. 제2항에 있어서,
    상기 제1 인젝터와 상기 제2 인젝터는 상기 실린더 주위에 배치되어, 상기 복수의 웨이퍼 지지 위치 중 하나에 위치하는 웨이퍼에 대해서 각(θ)을 형성하고, 상기 각(θ)은 5 내지 310°인 것을 특징으로 하는 횡단-흐름 라이너.
  4. 제3항에 있어서,
    상기 각(θ)은 100 내지 140°이고, 상기 제1 인젝터는 상기 웨이퍼 기판의 중심 및 상기 복수의 수직으로 배치되는 배출 포트 또는 슬롯의 중심을 통해서 각(ψ)을 정의하고, 상기 각(ψ)은 100 내지 140°인 것을 특징으로 하는 횡단-흐름 라이너.
  5. 제3항에 있어서,
    상기 각(θ)은 150 내지 210°이고, 수직으로 배치되는 복수의 제2 배출 포트 또는 배출공을 추가적으로 포함하고,
    상기 제1 인젝터는 상기 웨이퍼 기판의 중심 및 상기 복수의 수직으로 배치되는 배출 포트 또는 슬롯의 중심을 통해서 각(ψ)을 정의하고, 상기 각(ψ)은 80 내지 100°이고,
    상기 제1 인젝터는 상기 수직으로 배치되는 복수의 제2 배출 포트 또는 슬롯을 통해서 각(ψ')을 정의하고, 상기 각(ψ')은 260 내지 280°인 것을 특징으로 하는 횡단-흐름 라이너.
  6. 제5항에 있어서,
    상기 각(θ)은 170 내지 190°인 것을 특징으로 하는 횡단-흐름 라이너.
  7. 제5항에 있어서,
    상기 제1 인젝터는 상기 실린더의 돌출부 내에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  8. 제2항에 있어서,
    상기 실린더는 적어도 하나의 돌출부를 포함하고, 상기 제1 인젝터 및 제2 인젝터는 상기 적어도 하나의 돌출부 내에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  9. 제8항에 있어서,
    상기 제1 인젝터는 제1 돌출부내에 위치하고, 상기 제2 인젝터는 상기 실린더의 적어도 하나의 돌출부의 제2 돌출부에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  10. 제8항에 있어서,
    상기 제1 인젝터 및 제2 인젝터는 상기 라이너의 적어도 하나의 돌출부의 통합된 돌출부 내에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  11. 제1항에 있어서,
    상기 축 방향으로 정렬되는 오리피스의 제1 열이 상기 제1 수직축을 통해 상기 복수의 웨이퍼 지지 위치 중 하나에 위치하는 웨이퍼 기판의 중심에 대해서 각(α)를 정의하고,
    상기 각(α)은 90°초과 270°미만인 것을 특징으로 하는 횡단-흐름 라이너.
  12. 제11항에 있어서,
    상기 각(α)은 선택적으로 조정될 수 있는 것을 특징으로 하는 횡단-흐름 라이너.
  13. 제2항에 있어서,
    상기 제1 인젝터는 제1 내부 직경을 가지며, 상기 제2 인젝터는 제2 내부 직격을 가지고,
    상기 제1 내부 직경과 제2 내부 직경이 서로 다른 것을 특징으로 하는 횡단-흐름 라이너.
  14. 제1항에 있어서,
    상기 축 방향으로 정렬된 오리피스의 제1 열은 상기 인젝터 높이를 따르는 수직 방향을 따라서 오리피스의 면적 및 형태 중 적어도 하나가 변화하는 것을 특징으로 하는 횡단-흐름 라이너.
  15. 제14항에 있어서,
    상기 오리피스 면적은 상기 제1 인젝터 높이를 따라서 상기 제1 유체 공급원에서 멀어질수록 증가하는 것을 특징으로 하는 횡단-흐름 라이너.
  16. 제1항에 있어서,
    상기 복수의 수직으로 배치된 배출 포트 또는 슬롯은 수직 방향을 따라서 형태, 면적 및 높이 중 적어도 하나가 변화하는 것을 특징으로 하는 횡단-흐름 라이너.
  17. 제16항에 있어서,
    상기 복수의 수직으로 배치된 배출 포트 또는 슬롯 중 적어도 하나가 상기 복수의 웨이퍼 지지 위치 중 하나 이상과 정렬되는(in registry with) 높이를 갖는 것을 특징으로 하는 횡단-흐름 라이너.
  18. 제1항에 있어서,
    상기 실린더는 흡입 포트 또는 슬롯의 수직 경로를 가지며, 상기 제1 인젝터는 상기 실린더의 외부에 위치하여, 상기 제1 유체 공급원을 상기 실린더 내로 상기 흡입 포트 또는 슬롯의 수직 경로를 통하여 상기 축 방향으로 정렬되는 오리피스의 제1 열에 의해 제공하는 것을 특징으로 하는 횡단-흐름 라이너.
  19. 제2항에 있어서,
    축 방향으로 정렬되는 오리피스의 제3 열을 가지며, 제3 유체 공급원과 결합되는 제3 수직축을 정의하는 제3 인젝터를 추가적으로 포함하며,
    상기 축 방향으로 정렬되는 오리피스의 제3 열 각각이 상기 복수의 웨이퍼 지지 위치 중 하나와 정렬되며, 상기 제3 인젝터는 상기 제1 인젝터와 제2 인젝터 사이의 중간에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  20. 밀봉 단부 및 개방 단부를 가지며, 상기 개방 단부를 통해서 복수의 웨이퍼 지지 위치를 갖는 웨이퍼 캐리어 군(batch)을 수용할 수 있는 실린더; 및
    축 방향으로 정렬되는 오리피스의 제1 열을 포함하고, 제1 인젝터 높이 및 제1 수직축을 정의하는 제1 인젝터를 포함하는 횡단-흐름 라이너로서,
    상기 실린더는 복수의 수직으로 배치되는 배출 포트 또는 슬롯을 포함하고, 상기 복수의 수직으로 배치되는 배출 포트 또는 슬로 중 적어도 하나가 상기 복수의 웨이퍼 지지 위치 중 적어도 2개와 정렬되며,
    상기 제1 인젝터는 제1 유체 공급원과 결합되고,
    상기 축 방향으로 정렬되는 오리피스의 제1열 각각은 상기 복수의 웨이퍼 지지 위치 중 하나와 정렬되는 횡단-흐름 라이너.
  21. 제20항에 있어서,
    축 방향으로 정렬되는 오리피스의 제2열을 포함하며, 제2 인젝터 높이 및 제2 수직축을 정의하는 제2 인젝터를 추가적으로 포함하며,
    상기 축 방향으로 정렬되는 오리피스의 제2열 각각이 상기 복수의 웨이퍼 지지 위치 중 하나와 정렬되도록, 상기 제2 인젝터가 제2 유체 공급원과 결합되는 것을 특징으로 하는 횡단-흐름 라이너.
  22. 제21항에 있어서,
    상기 제1 인젝터와 상기 제2 인젝터는 상기 실린더 주위에 배치되어, 상기 복수의 웨이퍼 지지 위치 중 하나에 위치하는 웨이퍼에 대해서 각(θ)을 형성하고, 상기 각(θ)은 5 내지 310°인 것을 특징으로 하는 횡단-흐름 라이너.
  23. 제22항에 있어서,
    상기 각(θ)은 100 내지 140°이고, 상기 제1 인젝터는 상기 웨이퍼 기판의 중심 및 상기 복수의 수직으로 배치되는 배출 포트 또는 슬롯의 중심을 통해서 각(ψ)을 정의하고, 상기 각(ψ)은 100 내지 140°인 것을 특징으로 하는 횡단-흐름 라이너.
  24. 제22항에 있어서,
    상기 각(θ)은 150 내지 210°이고, 수직으로 배치되는 복수의 제2 배출 포트 또는 배출공을 추가적으로 포함하고,
    상기 제1 인젝터는 상기 웨이퍼 기판의 중심 및 상기 복수의 수직으로 배치되는 배출 포트 또는 슬롯의 중심을 통해서 각(ψ)을 정의하고, 상기 각(ψ)은 80 내지 100°이고,
    상기 제1 인젝터는 상기 수직으로 배치되는 복수의 제2 배출 포트 또는 슬롯을 통해서 각(ψ')을 정의하고, 상기 각(ψ')은 260 내지 280°인 것을 특징으로 하는 횡단-흐름 라이너.
  25. 제24항에 있어서,
    상기 각(θ)은 170 내지 190°인 것을 특징으로 하는 횡단-흐름 라이너.
  26. 제24항에 있어서,
    상기 제1 인젝터는 상기 실린더의 돌출부 내에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  27. 제21항에 있어서,
    상기 실린더는 적어도 하나의 돌출부를 포함하고, 상기 제1 인젝터 및 제2 인젝터는 상기 적어도 하나의 돌출부 내에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  28. 제27항에 있어서,
    상기 제1 인젝터는 제1 돌출부내에 위치하고, 상기 제2 인젝터는 상기 실린더의 적어도 하나의 돌출부의 제2 돌출부에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  29. 제27항에 있어서,
    상기 제1 인젝터 및 제2 인젝터는 상기 라이너의 적어도 하나의 돌출부의 통합된 돌출부 내에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  30. 제21항에 있어서,
    상기 축 방향으로 정렬되는 오리피스의 제1 열이 상기 제1 수직축을 통해 상기 복수의 웨이퍼 지지 위치 중 하나에 위치하는 웨이퍼 기판의 중심에 대해서 각(α)를 정의하고,
    상기 각(α)은 90°초과 270°미만인 것을 특징으로 하는 횡단-흐름 라이너.
  31. 제30항에 있어서,
    상기 각(α)은 선택적으로 조정될 수 있는 것을 특징으로 하는 횡단-흐름 라이너.
  32. 제20항에 있어서,
    축 방향으로 정렬되는 오리피스의 제3 열을 가지며, 제3 유체 공급원과 결합 되는 제3 수직축을 정의하는 제3 인젝터를 추가적으로 포함하며,
    상기 축 방향으로 정렬되는 오리피스의 제3 열 각각이 상기 복수의 웨이퍼 지지 위치 중 하나와 정렬되며, 상기 제3 인젝터는 상기 제1 인젝터와 제2 인젝터 사이의 중간에 위치하는 것을 특징으로 하는 횡단-흐름 라이너.
  33. 웨이퍼 캐리어 상에 위치하는 웨이퍼 기판의 군을 처리 반응기 내의 라이너에 삽입하는 단계;
    상기 오리피스의 제1 열의 각각의 오리피스가 웨이퍼 기판의 군의 웨이퍼 기판과 정렬된 상태에서, 제1 수직 인젝터에서 오리피스의 제1 열로부터 방출된 제1 가스에 상기 웨이퍼 기판의 군을 노출시키는 단계;
    오리피스의 제2 열의 각각의 오리피스가 웨이퍼 기판과 정렬되어 제1 가스와 제2 가스가 웨이퍼 표면을 횡단하는 횡단흐름을 제공하도록 된 상태에서, 제2 수직 인젝터에서 오리피스의 제2 열로부터 방출된 제2 가스에 상기 웨이퍼 기판의 군을 노출시키는 단계; 및
    상기 제1 및 제2 가스를 복수의 수직으로 배치된 배출 포트 또는 슬롯을 통해서 상기 라이너로부터 배출시키는 단계;를 포함하는 웨이퍼 기판의 군을 처리하는 방법.
  34. 제33항에 있어서,
    상기 웨이퍼 기판의 군은 상기 제1 가스 및 제2 가스에 노출되는 동안 동시 에 가열되고 대기압보다 낮은 압력에 노출되는 것을 특징으로 하는 웨이퍼 기판의 군을 처리하는 방법.
  35. 제33항에 있어서,
    상기 제1 가스 인젝터는 웨이퍼 기판을 중심으로 상기 제2 인젝터와 적어도 110°의 각도를 이루도록 원주상에 배치되는 것을 특징으로 하는 웨이퍼 기판의 군을 처리하는 방법.
  36. 제35항에 있어서,
    상기 제1 가스는 상기 웨이퍼 기판을 횡단하여 흐르는 라디칼(radical)을 포함하고, 상기 제2 가스는 상기 제1 가스에 대해 카운터 흐름으로서 제공되는 것을 특징으로 하는 웨이퍼 기판의 군을 처리하는 방법.
  37. 제33항에 있어서,
    상기 제1 가스는 웨이퍼 기판을 횡단하여 흐르기 전에 상기 라이너에 작용하는 것을 특징으로 하는 웨이퍼 기판의 군을 처리하는 방법.
KR1020070021144A 2006-03-01 2007-03-02 횡단-흐름 라이너를 갖는 열처리 시스템 KR20070090117A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US77785306P 2006-03-01 2006-03-01
US60/777,853 2006-03-01
US11/627,474 US20070137794A1 (en) 2003-09-24 2007-01-26 Thermal processing system with across-flow liner
US11/627,474 2007-01-26

Publications (1)

Publication Number Publication Date
KR20070090117A true KR20070090117A (ko) 2007-09-05

Family

ID=37938412

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070021144A KR20070090117A (ko) 2006-03-01 2007-03-02 횡단-흐름 라이너를 갖는 열처리 시스템

Country Status (5)

Country Link
US (1) US20070137794A1 (ko)
EP (1) EP1830392A3 (ko)
JP (1) JP2007243201A (ko)
KR (1) KR20070090117A (ko)
TW (1) TW200741877A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160021785A (ko) * 2013-06-18 2016-02-26 소이텍 복수개의 구조물을 생산하기 위한 프로세스
KR20180046191A (ko) * 2016-10-27 2018-05-08 삼성전자주식회사 기판 처리 장치

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
KR100527671B1 (ko) * 2004-02-19 2005-11-28 삼성전자주식회사 웨이퍼 상에 막을 형성하는 방법
EP2184574B1 (en) * 2007-08-06 2012-09-19 Teoss CO., LTD. Silicon heating furnace
JP5006821B2 (ja) * 2008-03-14 2012-08-22 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5043776B2 (ja) * 2008-08-08 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013021454A1 (ja) * 2011-08-09 2013-02-14 東横化学株式会社 熱処理装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101380240B1 (ko) * 2011-11-17 2014-04-03 주식회사 유진테크 열차단플레이트를 포함하는 기판 처리 장치
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
KR20140081067A (ko) * 2012-12-21 2014-07-01 삼성전자주식회사 웨이퍼 처리 장치 및 웨이퍼 처리 방법
JP2014143242A (ja) * 2013-01-22 2014-08-07 Toshiba Corp 縦型拡散炉
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9605345B2 (en) * 2013-08-23 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical furnace for improving wafer uniformity
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015185837A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2015041376A1 (ja) * 2014-09-30 2015-03-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および反応管
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2017216397A (ja) * 2016-06-01 2017-12-07 株式会社アルバック アニール処理装置およびアニール処理方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9962672B1 (en) * 2016-11-09 2018-05-08 Rec Silicon Inc Reactor component placement inside liner wall
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN117810127A (zh) 2017-02-23 2024-04-02 株式会社国际电气 基板处理装置、半导体装置的制造方法、基板处理方法、容器及存储介质
JP6703496B2 (ja) * 2017-03-27 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) * 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
WO2019038974A1 (ja) * 2017-08-25 2019-02-28 株式会社Kokusai Electric 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
JP6925214B2 (ja) * 2017-09-22 2021-08-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2019186335A (ja) * 2018-04-06 2019-10-24 東京エレクトロン株式会社 基板処理装置と基板処理方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR102501650B1 (ko) * 2018-08-03 2023-02-21 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) * 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) * 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122856A1 (en) * 2020-10-15 2022-04-21 Changxin Memory Technologies, Inc. Diffusion furnace
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7465855B2 (ja) * 2021-09-27 2024-04-11 芝浦メカトロニクス株式会社 加熱処理装置、搬入搬出治具、および有機膜の形成方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3885833T2 (de) * 1987-09-22 1994-03-24 Nec Corp Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte.
DE4316919C2 (de) * 1993-05-20 1996-10-10 Siegfried Dr Ing Straemke Reaktor für CVD-Behandlungen
JPH06349761A (ja) * 1993-06-03 1994-12-22 Kokusai Electric Co Ltd 半導体製造装置用ガス供給ノズル及び半導体製造装置
US5902103A (en) * 1995-12-29 1999-05-11 Kokusai Electric Co., Ltd. Vertical furnace of a semiconductor manufacturing apparatus and a boat cover thereof
JP4045689B2 (ja) * 1999-04-14 2008-02-13 東京エレクトロン株式会社 熱処理装置
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
DE102004004858A1 (de) * 2004-01-30 2005-08-18 Infineon Technologies Ag Vorrichtung zum Beschichten von Substratscheiben, Gaszufuhreinrichtung und Verfahren zu ihrer Herstellung

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160021785A (ko) * 2013-06-18 2016-02-26 소이텍 복수개의 구조물을 생산하기 위한 프로세스
KR20180046191A (ko) * 2016-10-27 2018-05-08 삼성전자주식회사 기판 처리 장치

Also Published As

Publication number Publication date
US20070137794A1 (en) 2007-06-21
EP1830392A3 (en) 2008-05-28
EP1830392A2 (en) 2007-09-05
TW200741877A (en) 2007-11-01
JP2007243201A (ja) 2007-09-20

Similar Documents

Publication Publication Date Title
KR20070090117A (ko) 횡단-흐름 라이너를 갖는 열처리 시스템
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
US20050098107A1 (en) Thermal processing system with cross-flow liner
KR100964042B1 (ko) 기판 처리장치 및 처리가스 토출기구
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US5958140A (en) One-by-one type heat-processing apparatus
TWI416645B (zh) Film forming apparatus and film forming method
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
JP2005533378A (ja) 熱処理装置及び設定可能な垂直チャンバ
US20100224130A1 (en) Rotating substrate support and methods of use
KR101027845B1 (ko) 기판 처리 장치 및 기판 탑재대
US20070095284A1 (en) Gas treating device and film forming device
WO2004015742A2 (en) High rate deposition in a batch reactor
WO2005024928A1 (ja) ガス処理装置および放熱方法
TWI764225B (zh) 基板處理裝置、半導體裝置之製造方法、基板保持器具及程式
KR20150090851A (ko) 성막 장치
JP2019503555A (ja) 処理チャンバのための高温ヒータ
US20150368830A1 (en) One-piece injector assembly and one-piece exhaust liner
US20160033070A1 (en) Recursive pumping member
JP2008166321A (ja) 基板処理装置および半導体装置の製造方法
TWI723024B (zh) 用於改良的氣體分配的遞迴注入設備
KR20050116247A (ko) 퍼니스 장치 및 그 장치를 사용한 열처리 방법
KR20050020757A (ko) 써멀 프로세싱 시스템 및 수직 가변 챔버
KR200298458Y1 (ko) 반도체 제조 설비의 공정 챔버
KR200360036Y1 (ko) 퍼니스 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid