WO2015041376A1 - 基板処理装置、半導体装置の製造方法および反応管 - Google Patents

基板処理装置、半導体装置の製造方法および反応管 Download PDF

Info

Publication number
WO2015041376A1
WO2015041376A1 PCT/JP2014/076023 JP2014076023W WO2015041376A1 WO 2015041376 A1 WO2015041376 A1 WO 2015041376A1 JP 2014076023 W JP2014076023 W JP 2014076023W WO 2015041376 A1 WO2015041376 A1 WO 2015041376A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas supply
gas
area
cylindrical portion
reaction tube
Prior art date
Application number
PCT/JP2014/076023
Other languages
English (en)
French (fr)
Inventor
吉田 秀成
小竹 繁
谷山 智志
高行 中田
Original Assignee
株式会社日立国際電気
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社日立国際電気 filed Critical 株式会社日立国際電気
Priority to US15/513,027 priority Critical patent/US10811271B2/en
Priority to SG11201702331YA priority patent/SG11201702331YA/en
Priority to KR1020187036811A priority patent/KR102123942B1/ko
Priority to KR1020207016533A priority patent/KR102268374B1/ko
Priority to PCT/JP2014/076023 priority patent/WO2015041376A1/ja
Priority to KR1020177007859A priority patent/KR101949060B1/ko
Priority to JP2015538001A priority patent/JP6257000B2/ja
Publication of WO2015041376A1 publication Critical patent/WO2015041376A1/ja
Priority to TW104119992A priority patent/TWI585853B/zh
Priority to US16/561,695 priority patent/US10950457B2/en
Priority to US17/166,256 priority patent/US20210159083A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Definitions

  • the present invention relates to a substrate processing apparatus, a semiconductor device manufacturing method, and a reaction tube.
  • This type of substrate processing apparatus has a boat as a substrate holding member that holds substrates (wafers) in multiple stages in a reaction tube, and processes the substrate in a processing chamber in the reaction tube while holding the plurality of substrates. It is known that there is something to do.
  • Patent Document 1 in a state where a plurality of wafers 200 to be batch-processed are held in multiple stages with respect to a boat 217 and inserted into the reaction tube 203, two or more kinds of source gases are simultaneously supplied to the wafers 200 in the reaction tube 203.
  • a configuration in which a film is formed on the wafer 200 is disclosed.
  • An object of the present invention is to provide a technique capable of improving the film thickness uniformity and improving the productivity.
  • a substrate holding member for holding a plurality of substrates;
  • a reaction tube containing the substrate holding member and processing the substrate;
  • a processing gas supply system for supplying a processing gas into the reaction tube;
  • An exhaust system for exhausting the atmosphere in the reaction tube,
  • the reaction tube has a closed portion at the upper end and a cylindrical portion having an opening at the lower end,
  • a gas supply area formed on the outside of one side wall of the cylindrical portion and connected to the processing gas supply system;
  • a gas exhaust area formed on the outside of the other side wall of the cylindrical portion facing the gas supply area and connected to the exhaust system,
  • the gas supply area and the gas exhaust area are provided with a semiconductor manufacturing apparatus configured to have an inner wall that divides an internal space into a plurality of spaces.
  • the substrate processing apparatus in the present invention is configured as an example of a semiconductor manufacturing apparatus used for manufacturing a semiconductor device.
  • the processing furnace 202 has a heater 207 as a heating means (heating mechanism).
  • the heater 207 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate.
  • the heater 207 also functions as an activation mechanism (excitation unit) that activates (excites) the processing gas with heat.
  • a reaction tube 203 having a single tube structure that constitutes a reaction vessel (processing vessel) concentrically with the heater 207 is disposed.
  • the reaction tube 203 is made of a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC).
  • the reaction tube 203 is formed in a ceiling shape in which the lower end is opened and the upper end is closed with a flat wall.
  • the side wall of the reaction tube 203 includes a cylindrical portion 209 formed in a cylindrical shape, and a gas supply area 222 and a gas exhaust area 224 provided on the outer wall of the cylindrical portion 209.
  • a processing chamber 201 is formed inside the cylindrical portion 209 of the reaction tube 203.
  • the processing chamber 201 is configured to process a wafer 200 as a substrate. Further, the processing chamber 201 is configured to be capable of accommodating a boat 217 that can hold the wafers 200 in a state where the wafers 200 are aligned in a vertical direction in multiple stages in a horizontal posture.
  • the gas supply area 222 is formed so that the convex portion protrudes outside the one side wall of the cylindrical portion 209.
  • the outer wall of the gas supply area 222 is larger than the outer diameter of the cylindrical portion 209 and concentrically with the cylindrical portion 209 on the outer side of one side wall as a part of the outer wall of the cylindrical portion 209.
  • the gas supply area 222 has a ceiling shape in which the lower end is opened and the upper end is closed by a flat wall.
  • the gas supply area 222 accommodates nozzles 410a to 410c, which will be described later, along the length direction (vertical direction), and a boundary wall 252 that is a wall body that forms a boundary between the gas supply area 222 and the cylindrical portion 209.
  • a gas supply slit 235 which will be described later, is formed.
  • the boundary wall 252 is one side wall of the cylindrical portion 209, and an outer side surface of the boundary wall 252 constitutes a side surface portion facing the gas supply area 222.
  • a gas exhaust area 224 is formed on the other side wall of the cylindrical portion 209 facing the one side wall where the gas supply area 222 is formed.
  • the gas exhaust area 224 is disposed so as to sandwich an area where the wafer 200 of the processing chamber 201 is accommodated between the gas exhaust area 224 and the gas supply area 222.
  • the gas exhaust area 224 is formed so that the convex portion protrudes outside the other side wall facing the one side wall where the gas supply area 222 of the cylindrical portion 209 is formed.
  • the outer wall of the gas exhaust area 224 is larger than the outer diameter of the cylindrical portion 209 on the outside of the other side wall as a part of the outer wall of the cylindrical portion 209 and is formed concentrically with the cylindrical portion 209.
  • the gas exhaust area 224 has a ceiling shape in which the lower end and the upper end are closed by a flat wall.
  • a gas exhaust slit 236 to be described later is formed in a boundary wall 254 that is a wall body that forms a boundary between the gas exhaust area 224 and the cylindrical portion 209.
  • the boundary wall 254 is a part of the cylindrical portion 209, and an outer side surface thereof constitutes a side surface portion facing the gas exhaust area 224.
  • the lower end of the reaction tube 203 is supported by a cylindrical manifold 226.
  • the manifold 226 is formed of a metal such as a nickel alloy or stainless steel, or a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC).
  • a flange is formed at the upper end portion of the manifold 226, and the lower end portion of the reaction tube 203 is installed and supported on the flange.
  • An airtight member 220 such as an O-ring is interposed between the flange and the lower end portion of the reaction tube 203 to keep the inside of the reaction tube 203 airtight.
  • a seal cap 219 is attached to the opening at the lower end of the manifold 226 through an airtight member 220 such as an O-ring, so that the opening at the lower end of the reaction tube 203, that is, the opening of the manifold 226 is airtight. It is supposed to close.
  • the seal cap 219 is formed of, for example, a metal such as nickel alloy or stainless steel, and is formed in a disk shape.
  • the seal cap 219 may be configured to cover the outside with a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC).
  • a boat support 218 that supports the boat 217 is provided on the seal cap 219.
  • the boat support 218 is made of a heat-resistant material such as quartz or silicon carbide, and functions as a heat insulating portion and is a support that supports the boat.
  • the boat 217 is erected on the boat support 218.
  • the boat 217 is made of a heat resistant material such as quartz or silicon carbide.
  • the boat 217 has a bottom plate fixed to a boat support (not shown) and a top plate arranged above the bottom plate, and has a configuration in which a plurality of columns are installed between the bottom plate and the top plate. Yes. A plurality of wafers 200 are held on the boat 217.
  • the plurality of wafers 200 are loaded in multiple stages in the tube axis direction of the reaction tube 203 in a state where the wafers 200 are kept in a horizontal posture while being spaced apart from each other at the center, and are supported on the support column of the boat 217.
  • a boat rotation mechanism 267 for rotating the boat is provided on the side of the seal cap 219 opposite to the processing chamber 201.
  • a rotation shaft 265 of the boat rotation mechanism 267 passes through the seal cap and is connected to the boat support base 218.
  • the boat rotation mechanism 267 rotates the boat 217 via the boat support base 218 to rotate the wafer 200. .
  • the seal cap 219 is moved up and down in the vertical direction by a boat elevator 115 as an elevating mechanism provided outside the reaction tube 203, so that the boat 217 can be carried into and out of the processing chamber 201.
  • nozzle support portions 350a to 350c that support the nozzles 340a to 340c are installed so as to be bent in an L shape and penetrate the manifold 226.
  • three nozzle support portions 350a to 350c are installed.
  • the nozzle support portions 350a to 350c are made of a material such as nickel alloy or stainless steel.
  • Gas supply pipes 310a to 310c for supplying gas into the reaction tube 203 are connected to one end of the nozzle support portion 350 on the reaction tube 203 side.
  • nozzles 340a to 340c are connected to the other ends of the nozzle support portions 350a to 350c, respectively.
  • the nozzles 340a to 340c are formed of a heat resistant material such as quartz or SiC.
  • the nozzles 340a to 340c are provided above the lower part in the gas supply area 222 along the length direction (vertical direction).
  • the nozzles 340a to 340c are each configured as an I-shaped long nozzle.
  • Gas supply holes 232a to 232c for supplying gas are provided on the side surfaces of the nozzles 340a to 340c, respectively.
  • the gas supply holes 232a to 232c are opened so as to face the center of the reaction tube 203, respectively.
  • the gas supply area 222 is provided with the three nozzles 340 a to 340 c so that a plurality of types of gases can be supplied into the processing chamber 201.
  • the boat 217 is inserted into the processing chamber 201 while being supported by the boat support 218, and the heater 207 is The wafer 200 inserted into the processing chamber 201 is heated to a predetermined temperature.
  • the gas supply pipe 310a includes, in order from the upstream direction, a first processing gas supply source 360a that supplies a first processing gas, a mass flow controller (MFC) 241a that is a flow rate controller (flow rate control unit), and a valve 243a that is an on-off valve.
  • the gas supply pipe 310b includes, in order from the upstream direction, a first processing gas supply source 360b that supplies a second processing gas, a mass flow controller (MFC) 241b that is a flow rate controller (flow rate control unit), and a valve 243b that is an on-off valve.
  • MFC mass flow controller
  • the gas supply pipe 310c includes, in order from the upstream direction, a first processing gas supply source 360c that supplies a third processing gas, a mass flow controller (MFC) 241c that is a flow rate controller (flow rate control unit), and a valve 243c that is an on-off valve.
  • MFC mass flow controller
  • Gas supply pipes 310d to 310f for supplying an inert gas are connected to the gas supply pipes 310a to 310c on the downstream side of the valve valves 243a to 243c, respectively.
  • the gas supply pipes 310d to 310f are provided with MFCs 241d to 241f as flow rate controllers (flow rate control units) and valves 243d to 243f as opening / closing valves, respectively, in order from the upstream direction.
  • the first process gas supply system is mainly configured by the gas supply pipe 310a, the MFC 320a, and the valve 330a.
  • the first process gas supply source 360a, the nozzle support part 350a, and the nozzle 340a may be included in the first process gas supply system.
  • a second processing gas supply system is mainly configured by the gas supply pipe 310b, the MFC 320b, and the valve 330b.
  • the second process gas supply source 360b, the nozzle support part 350b, and the nozzle 340b may be included in the second process gas supply system.
  • a third processing gas supply system is mainly configured by the gas supply pipe 310c, the MFC 320c, and the valve 330c.
  • the third processing gas supply source 360c, the nozzle support 350c, and the nozzle 340c may be included in the third processing gas supply system.
  • processing gas when the term “processing gas” is used, it includes only the first processing gas, includes only the second processing gas, includes only the third processing gas, or includes all of them. There is.
  • processing gas supply system when the term processing gas supply system is used, it includes only the first processing gas supply system, only the second processing gas supply system, only the third processing gas supply system, or all of them. May be included.
  • An exhaust port 230 is provided below the gas exhaust area 224.
  • the exhaust port 230 is connected to the exhaust pipe 231.
  • the exhaust pipe 232 is evacuated through a pressure sensor 245 as a pressure detector (pressure detection unit) for detecting the pressure in the processing chamber 201 and an APC (Auto Pressure Controller) valve 244 as a pressure regulator (pressure adjustment unit).
  • a vacuum pump 246 serving as an exhaust device is connected, and the processing chamber 201 can be evacuated so that the pressure in the processing chamber 201 becomes a predetermined pressure (degree of vacuum).
  • An exhaust pipe 232 on the downstream side of the vacuum pump 246 is connected to a waste gas treatment device (not shown) or the like.
  • the APC valve 244 can open and close the valve to stop evacuation / evacuation in the processing chamber 201, and further adjust the valve opening to adjust conductance to adjust the pressure in the processing chamber 201. It is an open / close valve.
  • An exhaust system is mainly configured by the exhaust pipe 232, the APC valve 244, and the pressure sensor 245.
  • a vacuum pump 246 may also be included in the exhaust system.
  • a temperature sensor 238 to be described later is installed in the reaction tube 203 as a temperature detector. By adjusting the power supplied to the heater 207 based on the temperature information detected by the temperature sensor 238, The temperature is configured to have a desired temperature distribution.
  • the controller 280 which is a control unit (control means), is configured as a computer including a CPU (Central Processing Unit) 121a, a RAM (Random Access Memory) 121b, a storage device 121c, and an I / O port 121d.
  • the RAM 121b, the storage device 121c, and the I / O port 121d are configured to exchange data with the CPU 121a via the internal bus 121e.
  • an input / output device 122 configured as a touch panel or the like is connected to the controller 280.
  • the storage device 121c includes, for example, a flash memory, a HDD (Hard Disk Drive), and the like.
  • a control program that controls the operation of the substrate processing apparatus, a process recipe that describes the procedure and conditions of the substrate processing described later, and the like are stored in a readable manner.
  • the process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 280 to execute each procedure in the substrate processing process described later, and functions as a program.
  • the process recipe, the control program, and the like are collectively referred to simply as a program.
  • program When the term “program” is used in this specification, it may include only a process recipe alone, only a control program alone, or both.
  • the RAM 121b is configured as a memory area (work area) in which programs, data, and the like read by the CPU 121a are temporarily stored.
  • the I / O port 121d is connected to the above-described MFCs 241a to 241f, valves 243a to 243f, pressure sensor 245, APC valve 244, vacuum pump 246, heater 207, temperature sensor 238, boat rotation mechanism 267, boat elevator 115, and the like. Yes.
  • the CPU 121a is configured to read and execute a control program from the storage device 121c, and to read a process recipe from the storage device 121c in response to an operation command input from the input / output device 122 or the like.
  • the CPU 121a adjusts the flow rates of various gases by the MFCs 241a to 241f, the opening and closing operations of the valves 243a to 243f, the opening and closing operations of the APC valve 244, and the pressure by the APC valve 244 based on the pressure sensor 245 so as to match the contents of the read process recipe.
  • Control of adjustment operation, start and stop of vacuum pump 246, temperature adjustment operation of heater 207 based on temperature sensor 238, rotation and rotation speed adjustment operation of boat 217 by boat rotation mechanism 267, raising / lowering operation of boat 217 by boat elevator 115, etc. Is configured to do.
  • the controller 280 is not limited to being configured as a dedicated computer, and may be configured as a general-purpose computer.
  • an external storage device storing the above-described program for example, magnetic tape, magnetic disk such as a flexible disk or hard disk, optical disk such as CD or DVD, magneto-optical disk such as MO, semiconductor memory such as USB memory or memory card
  • the controller 280 of this embodiment can be configured by installing a program on a general-purpose computer using the external storage device 123.
  • the means for supplying the program to the computer is not limited to supplying the program via the external storage device 123.
  • the program may be supplied without using the external storage device 123 by using communication means such as the Internet or a dedicated line.
  • the storage device 121c and the external storage device 123 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium.
  • recording medium When the term “recording medium” is used in this specification, it may include only the storage device 121c alone, may include only the external storage device 123 alone, or may include both.
  • inner walls 248 and 250 that divide each internal space into a plurality of spaces are formed inside the gas supply area 222 and the gas exhaust area 224.
  • the inner walls 248 and 250 are made of the same material as the reaction tube 203, and are made of a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC).
  • quartz SiO 2
  • SiC silicon carbide
  • the two inner walls 248 that divide the gas supply area 222 are provided so as to divide the gas supply area 222 from the lower end side to the upper end side to form three isolated spaces.
  • nozzles 340a to 340c are respectively installed in each space of the gas supply area 222. Since the nozzles 340a to 340c are installed in independent spaces by the inner wall 248, it is possible to prevent the processing gases supplied from the nozzles 340a to 340c from being mixed in the gas supply area 222. With such a configuration, it is possible to prevent the processing gas from being mixed in the gas supply area 222 to form a thin film or to generate a by-product.
  • the inner wall 248 may be provided so as to partition the gas supply area 222 from the lower end to the upper end and form three isolated spaces.
  • the two inner walls 250 that divide the inside of the gas exhaust area 224 are provided so as to partition the gas exhaust area 224 from the lower end side to the upper end side to form three isolated spaces.
  • the inner wall 250 is provided so as to partition the gas exhaust area 224 from the lower end side to the upper end and form three isolated spaces.
  • the gas flow path cross-sectional areas of the gas supply area 222 and the gas exhaust area 224 are the same.
  • the cross-sectional area of the gas in each space in the gas supply area 222 and the cross-sectional area of the gas in each space in the gas exhaust area 224 facing each space in the gas supply area 222 are Same area.
  • an opening 256 for installing the nozzles 340a to 340c in the gas supply area 222 is formed at the lower end of the boundary wall 252 on the gas supply area 222 side of the cylindrical portion 209.
  • the nozzles 340a to 340c are inserted into the spaces from the openings 256, and the lower ends of the nozzles 340a to 340c are once lifted higher than the upper ends of the nozzle support portions 350a to 350c, and then the nozzles 340a to 340c are installed.
  • the lower end of 340c is inserted so as to be lower than the upper ends of the nozzle support portions 350a to 350c.
  • a buffer region 258 is formed above the upper end of ⁇ 340c (see FIG. 5).
  • the upper end side of the gas supply area 222 is configured to be higher than the ceiling of the gas exhaust area 224 by at least the buffer area 258.
  • the upper end of the ceiling portion of the gas supply area 222 is the same height as the upper end of the ceiling portion of the cylindrical portion 209, and the upper end of the ceiling portion of the gas exhaust area 224 is higher than the upper end of the ceiling portion of the cylindrical portion 209. It is configured to be low. In other words, the volume of the gas supply area 222 is larger than the volume of the gas exhaust area 224 by the buffer area 258. In this embodiment, the height of the upper end of the gas exhaust area 224 is configured to be lower than the upper end of the gas supply area 222.
  • the gas exhaust area 224 affects the exhaust balance due to the size of the volume, If there is no problem in the influence on the adhesion, the same height may be used.
  • the inner wall 250 in the gas exhaust area 224 is formed from the upper end of the ceiling of the gas exhaust area 224 to a position higher than the upper end of the exhaust port 230 on the lower end side. From the position higher than the upper end of the exhaust port 230 on the lower end side of the gas exhaust area 224 to the lower end of the gas exhaust area 224 is configured as one space. The gas flowing through each space partitioned by the inner wall 250 of the gas exhaust area 224 joins in one space before the exhaust port 230 and is exhausted from the exhaust port 230. With this configuration,
  • the inner wall 248 in the gas supply area 222 is formed from the ceiling of the gas supply area 222 to the upper part of the lower end of the reaction tube 203. Specifically, the lower end of the inner wall 248 is formed below the upper end of the opening 256. The lower end of the inner wall 248 is formed as a region above the lower end portion of the reaction tube 203 and below the upper end portion of the nozzle support portion 350.
  • the length of the inner wall 248 in the gas supply area 222 is configured to be shorter than the length of the reaction tube 203 and longer than the length of the boundary wall 252. Further, the inner wall 248 in the gas supply area 222 is configured to be longer than the inner wall 250 in the gas exhaust area 224.
  • a gas supply slit 235 for supplying a processing gas into the processing chamber 201 is formed on the boundary wall 252 between the cylindrical portion 209 and the gas supply area 222.
  • the gas supply slits 235 are formed in a matrix with a plurality of rows and columns in the vertical and horizontal directions. That is, a plurality of horizontally long slits are formed in the vertical direction facing each space defined by the inner wall 248 in the gas supply area 222.
  • the gas supply efficiency can be improved.
  • the gas supply slit 235 may be formed in a horizontally long shape except for a connecting portion between the inner wall 248 and the boundary wall 252 so as to improve gas supply efficiency.
  • the number of rows of gas supply slits 235 is the same as the number of partitioned spaces. In this embodiment, since three spaces are formed, the gas supply slits 235 are formed in three rows.
  • a gas exhaust slit 236 for exhausting the atmosphere in the processing chamber 201 is formed in a boundary wall 254 between the cylindrical portion 209 and the gas exhaust area 224.
  • the gas exhaust slits 236 are formed in a matrix of a plurality of rows and columns in the vertical and horizontal directions. That is, a plurality of horizontally long slits are formed in the vertical direction so as to face each space defined by the inner wall 248 in the gas supply area 222 and to be long in the circumferential direction of the cylindrical portion.
  • the length of the gas exhaust slit 236 in the circumferential direction of the cylindrical portion 209 is the same as the length of each space in the gas exhaust area 224 in the circumferential direction, the gas exhaust efficiency can be improved.
  • the gas exhaust slit 236 is formed in a horizontally long and a plurality of vertical stages excluding the connecting portion between the inner wall 250 and the boundary wall 254, so that the gas exhaust efficiency is improved.
  • the number of rows of gas exhaust slits 236 is the same as the number of partitioned spaces. In the present embodiment, since three spaces are formed, the gas exhaust slits 236 are formed in three rows.
  • the gas supply slit 235 and the gas exhaust slit 236 are smoothly formed so that the edge portions as the four corners draw curved surfaces.
  • R scribing or the like By performing R scribing or the like on the edge part to make it curved, it is possible to suppress stagnation of gas around the edge part, to suppress film formation on the edge part, and to be formed on the edge part. The film peeling of the film can be suppressed.
  • the gas supply slit 235 and the gas exhaust slit 236 are respectively arranged between adjacent wafers 200 mounted on the boat 217 in a state of being accommodated in the processing chamber 201. It is formed to be.
  • the boat 217 will be omitted.
  • the wafers 200 it is preferable to form the wafers 200 so as to face each other between the wafers 200 and the top plate.
  • the gas supply slits 235 and the gas exhaust slits 236 are formed to have the same height and the same number.
  • the gas supply slit 235 and the gas exhaust slit 234 may be formed in 25 stages.
  • the gas supply slit 235 and the gas exhaust slit 236 are formed with a constant vertical width L1.
  • the gas supply slit 235 and the gas exhaust slit 234 are preferably formed so that L1 is smaller than L2.
  • L1 is in the range of about 1 mm to 9 mm, and more preferably in the range of about 3 to 7 mm.
  • L2 is preferably in the range of about 6 to 14 mm, and more preferably in the range of about 8 to 12 mm.
  • the gas supply holes 234a to 234c of the nozzles 340a to 340c are preferably formed in the central part of the vertical width of each gas supply slit 235 so as to correspond to each gas supply slit 235 one by one.
  • 25 gas supply holes 234a to 234c are preferably formed. That is, the gas supply slit 235 and the gas supply holes 234a to 234c are preferably formed in the same number as the wafer 200 to be placed. With such a slit configuration, a flow of processing gas parallel to the wafer 200 can be formed on the wafer 200 (see the arrow in FIG. 5).
  • the gas exhaust area 224 is formed with a long slit in the circumferential direction, exhaust can be performed without disturbing the flow of the processing gas flowing on the wafer 200.
  • the gas exhaust slit is formed in a hole shape, the flow of the processing gas concentrates toward the hole, so that a uniform gas flow cannot be formed on the wafer 200.
  • the gas exhaust slit is formed in a horizontally long shape, the flow of gas is rectified on the wafer 200 uniformly without forming a concentrated process gas flow as it approaches the exhaust side. It becomes possible to supply the processing gas.
  • the substrate processing apparatus is controlled by the controller 280.
  • a boat 217 on which a predetermined number of wafers 200 are placed is inserted into the reaction tube 203, and the reaction tube 203 is hermetically closed by a seal cap 219.
  • the wafer 200 is heated and a processing gas is supplied into the reaction tube 203, so that the wafer 200 is subjected to heat treatment such as heating.
  • NH 3 gas as the first processing gas, HCDS gas as the second processing gas, and N 2 gas as the third processing gas are alternately supplied (HCDS gas supply ⁇ N 2 purge ⁇ NH 3 gas supply ⁇
  • HCDS gas supply ⁇ N 2 purge ⁇ NH 3 gas supply ⁇ By repeating this cycle a predetermined number of times with N 2 purge as one cycle, a SiN film is formed on the wafer 200.
  • the processing conditions are, for example, as follows. Wafer 200 temperature: 100-600 ° C. Processing chamber pressure: 1 to 3000 Pa HCDS gas supply flow rate: 1 to 2000 sccm NH 3 gas supply flow rate: 100 to 10000 sccm N 2 gas supply flow rate: 10 to 10000 sccm SiN film thickness: 0.2 to 10 nm
  • HCDS gas is supplied into the processing chamber 201 from the gas supply pipe 310b of the second processing gas supply system through the gas supply hole 234b of the nozzle 350b and the gas supply slit 235.
  • the supply of the HCDS gas into the processing chamber 201 from the gas supply pipe 310b is started together with the carrier gas by opening the valves 330b and 330e.
  • the opening degree of the APC valve 244 is adjusted to maintain the pressure in the processing chamber 201 at a predetermined pressure.
  • the valve 330b is closed and the supply of HCDS gas is stopped.
  • the HCDS gas supplied into the processing chamber 201 is supplied to the wafer 200, flows in parallel on the wafer 200, and then flows through the gas exhaust slit 236 from the upper part to the lower part through the gas exhaust area 236.
  • the exhaust pipe 232 is exhausted through the exhaust port 230 at the bottom of 222.
  • the APC valve 244 is opened to exhaust the processing chamber 201, and the HCDS gas and reaction products remaining in the processing chamber 201 are exhausted. Etc. are excluded. At this time, if an inert gas such as N 2 is supplied into the processing chamber 201 from the inert gas supply pipes 310a and 310c and purged, the effect of eliminating the residual gas from the processing chamber 201 can be further enhanced. . After a predetermined time has elapsed, the valve 330e is closed.
  • NH 3 gas is supplied from the gas supply pipe 310a of the first processing gas supply system into the processing chamber 201 through the gas supply hole 234a of the nozzle 350a and the gas supply slit 235.
  • the valves 330a and 330d the supply of the NH 3 gas into the processing chamber 201 from the gas supply pipe 310a is started together with the carrier gas.
  • the opening degree of the APC valve 244 is adjusted to maintain the pressure in the processing chamber 201 at a predetermined pressure.
  • the valve 330a is closed and the supply of NH 3 gas is stopped.
  • the NH 3 gas supplied into the processing chamber 201 is supplied to the wafer 200, flows in parallel on the wafer 200, and then flows through the gas exhaust slit 236 from the upper part to the lower part through the gas exhaust area 236.
  • the air is exhausted from the exhaust pipe 232 through the exhaust port 230 below the area 222.
  • the APC valve 244 is opened to exhaust the processing chamber 201, and the HCDS gas remaining in the processing chamber 201 and the reaction generation Exclude things.
  • an inert gas such as N 2 is supplied into the processing chamber 201 from the inert gas supply pipes 310e and 310f and purged, the effect of removing residual gas from the processing chamber 201 can be further enhanced. .
  • the valve 330e is closed.
  • the boat 217 is unloaded from the reaction tube 203 by the reverse procedure of the above-described operation.
  • the wafers 200 are transferred from the boat 217 to the cassette 100 of the transfer shelf 123 by the wafer transfer device 112, and the cassette 100 is transferred from the transfer shelf 123 to the cassette stage 105 by the cassette transfer device 115. It is carried out of the housing 101 by an external transport device that does not.
  • the processing gas is supplied in a conical shape from the gas supply hole up, down, left and right. Since the processing gas is widely supplied not only in the direction parallel to the wafer 200 (left-right direction) but also in the up-down direction, the processing gas flows into the space between the edge of the wafer 200 and the reaction tube. A sufficient amount of processing gas cannot be supplied in the meantime. For this reason, the film in the vicinity of the gas supply hole becomes thick and a uniform film thickness cannot be obtained. Further, the replacement efficiency of the processing gas is poor, and the productivity is deteriorated.
  • a horizontally long gas supply slit 235 is formed on the downstream side of the gas supply hole. Since the processing gas supplied in the vertical direction hits the boundary wall 254, it is not supplied as it is into the processing chamber 201. The processing gas that hits the boundary wall 254 diffuses in the gas supply area 222, spreads horizontally (in the left-right direction) along the shape of the gas supply slit 235, and is supplied into the processing chamber 201.
  • the vertical width of the gas supply slit 235 is shorter than the interval between the wafers 200, even if the processing gas that has passed through the gas supply slit 235 spreads in the vertical direction, the edge of the wafer 200 and the reaction tube The processing gas does not flow into the space between the two, a sufficient amount can be supplied between the wafers 200, and the uneven thickness can be reduced.
  • the gas supply area 222 and the gas exhaust area 224 are formed outside the cylindrical portion 209 (processing chamber 201).
  • the volume of the reaction tube 203 can be made smaller than that of the conventional reaction tube. If the interval between the cylindrical portion 209 and the edge of the wafer 200 is S1 (see FIG. 5), that is, the volume can be reduced by about 30% compared to the conventional reaction tube, so that productivity is improved. Is possible.
  • the gas supply area 222 and the gas exhaust area 224 are divided into three spaces, but may be divided into two spaces or may be divided into four or more spaces.
  • the number of spaces to be partitioned can be changed as appropriate in accordance with the number of nozzles necessary for the desired heat treatment.
  • each nozzle shape may be changed.
  • a gas supply hole of a nozzle installed in the middle space may be opened toward the inner wall.
  • a second embodiment of the present invention will be described.
  • This embodiment is different from the first embodiment in that a temperature measurement area 260 is formed at both ends of the gas exhaust area 224 in order to install the temperature sensor 238 in the gas exhaust area.
  • the shape of the reaction tube 203 suitably used in the second embodiment will be described with reference to FIG. Note that description of the same configuration as in the first embodiment is omitted.
  • a temperature measurement area 260 in which the temperature sensor 238 is accommodated is formed at both ends of the gas exhaust area 224.
  • the temperature measurement area 260 has a ceiling shape in which a lower end portion and an upper end portion are closed flat, and an outer wall thereof is formed concentrically with the cylindrical portion 209. Further, the temperature measurement area 260 is continuously formed through the gas exhaust area 224 and the inner wall 252.
  • the gas exhaust slit 236 is not formed in the boundary wall between the temperature measurement area 260 and the cylindrical portion 209. That is, the temperature measurement area 260 is formed spatially independent from the gas exhaust area 224 and the processing chamber 201. With such a configuration, it is possible to prevent the temperature sensor 238 from being exposed to the processing gas, so that deterioration of the temperature sensor 238 can be suppressed.
  • the height of the ceiling portion of the temperature measurement area 260 is It is formed at the same height as the cylindrical portion 209.
  • the ceiling portion of the gas exhaust area 224 is formed at the same height as the height of the cylindrical portion 209. That is, in the present embodiment, the gas supply area 222, the gas exhaust area 224, the temperature measurement area 260, and the cylindrical portion 209 are formed so that the height of the ceiling is the same height. With such a configuration, it is possible to measure the temperature inside the processing chamber 201 in the vertical direction, and uniform heating of the processing chamber 201 by the heater 207 can be performed. In addition, the strength of the reaction tube 203 can be increased. Further, by forming the temperature measurement areas 260 at both ends of the gas exhaust area 224, it is possible to improve maintainability.
  • Examples of the film forming process performed by the substrate processing apparatus include CVD, PVD, ALD, Epi, other processes for forming an oxide film and a nitride film, and processes for forming a film containing a metal. Further, annealing treatment, oxidation treatment, diffusion treatment or the like may be performed.
  • a substrate holding member for holding a plurality of substrates; A reaction tube containing the substrate holding member and processing the substrate; A processing gas supply system for supplying a processing gas into the reaction tube; An exhaust system for exhausting the atmosphere in the reaction tube,
  • the reaction tube has a closed portion at the upper end and a cylindrical portion having an opening at the lower end, A gas supply area formed on the outside of one side wall of the cylindrical portion and connected to the processing gas supply system; A gas exhaust area formed on the outside of the other side wall of the cylindrical portion facing the gas supply area and connected to the exhaust system,
  • the gas supply area and the gas exhaust area may be provided with a substrate processing apparatus configured to have an inner wall that divides an internal space into a plurality of spaces.
  • Appendix 2 The substrate processing apparatus according to appendix 1, preferably, A gas supply slit for supplying the processing gas into the cylindrical portion is formed in a boundary wall between the gas supply area and the cylindrical portion.
  • Appendix 3 The substrate processing apparatus according to appendix 1 or 2, preferably, A gas exhaust slit for exhausting the atmosphere in the cylindrical portion is formed in a boundary wall between the gas exhaust area and the cylindrical portion.
  • Appendix 4 The substrate processing apparatus according to appendix 3, preferably, The gas supply slit and the gas exhaust slit are formed in a plurality in the vertical direction at positions opposed to the plurality of spaces.
  • Appendix 5 The substrate processing apparatus according to appendix 3 or 4, wherein the gas supply slit and the gas exhaust slit are preferably formed long in the circumferential direction of the cylindrical portion, and both end portions thereof are formed in a curved shape. .
  • the substrate processing apparatus according to appendices 1 to 6, preferably, The gas supply area and the gas exhaust area have the same number of inner walls and are divided into the same number of spaces.
  • the substrate processing apparatus according to appendix 9 preferably, The length of the inner wall of the gas supply area is longer than the length of the inner wall of the gas exhaust area.
  • Appendix 14 The substrate processing apparatus according to appendices 4 to 13, preferably, The number of stages of the gas supply slit and the gas exhaust slit is the same as the number of the substrates.
  • Appendix 17 The substrate processing apparatus according to appendix 1, preferably, A temperature measurement area in which a temperature sensor for measuring the temperature in the reaction tube is installed is formed adjacent to the gas exhaust area.
  • a cylindrical portion having a closed portion at the upper end and an opening at the lower end, a gas supply area formed outside one side wall of the cylindrical portion, and the outside of the other side wall of the cylindrical portion facing the gas supply area
  • a method of manufacturing a semiconductor device and a substrate processing method comprising: exhausting an atmosphere in the cylindrical portion from a gas exhaust area having an inner wall that divides the internal space into a plurality of spaces.
  • a cylindrical portion having a closed portion at the upper end and an opening at the lower end, a gas supply area formed outside one side wall of the cylindrical portion, and the outside of the other side wall of the cylindrical portion facing the gas supply area
  • a procedure for supplying a processing gas into the cylindrical portion from a gas supply area having an inner wall that divides the internal space into a plurality of spaces A procedure for exhausting the atmosphere in the cylindrical portion from a gas exhaust area having an inner wall that divides the internal space into a plurality of spaces;
  • a computer-readable recording medium on which the program is recorded is provided.
  • a cylindrical portion having a closed portion at the upper end and an opening at the lower end; A gas supply area formed outside one side wall of the cylindrical portion; A gas exhaust area formed on the outside of the other side wall of the cylindrical portion facing the gas supply area, and the gas supply area and the gas exhaust area are inner walls that divide the internal space into a plurality of spaces.
  • a reaction tube configured to comprise is provided.
  • the substrate processing apparatus the method for manufacturing a semiconductor device, and the reaction tube according to the present invention, it is possible to reduce the volume of the reaction tube and improve the replacement efficiency of the processing gas.
  • controller control unit 200 Wafer 201 Processing chamber 202 Processing furnace 203 Reaction tube 207 Heater 222 Gas supply area 224 Gas exhaust area 231 Exhaust tubes 310a to 310f Gas supply tubes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

生産性を向上させることが可能な技術を提供する。 基板処理装置は、複数枚の基板を保持する基板保持部材と、基板保持部材を収容し、基板を処理する反応管と、反応管内に処理ガスを供給する処理ガス供給系と、反応管内の雰囲気を排気する排気系と、を有し、反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、円筒部の一側壁の外側に形成され、処理ガス供給系が接続されたガス供給エリアと、ガス供給エリアと対向する円筒部の他側壁の外側に形成され、排気系が接続されたガス排気エリアと、を備え、ガス供給エリアおよびガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成される。

Description

基板処理装置、半導体装置の製造方法および反応管
 本発明は、基板処理装置、半導体装置の製造方法および反応管に関する。
 基板処理装置の一例として、半導体製造装置があり、さらに半導体製造装置の一例として、縦型装置があることが知られている。この種の基板処理装置として、反応管内に、基板(ウエハ)を多段に保持する基板保持部材としてのボートを有し、この複数の基板を保持した状態で反応管内の処理室にて基板を処理するものがあることが知られている。
 特許文献1は、バッチ処理される複数枚のウエハ200がボート217に対し多段に保持され、反応管203内に挿入された状態において、2種類以上の原料ガスを同時に反応管203内のウエハ200に対して供給し、ウエハ200上に膜を形成する構成を開示する。
特開2011-52319号公報
 しかしながら、上述した特許文献1に記載の構成ではウエハとウエハとの間に十分な量の原料ガスを供給できないため膜厚均一性が悪く、また、原料ガスの置換効率が悪いため処理時間を長く要し、生産性が悪化するという問題があった。
 本発明の目的は、膜厚均一性を改善するとともに生産性を向上させることが可能な技術を提供することにある。
 本発明の一態様によれば、
  複数枚の基板を保持する基板保持部材と、
 前記基板保持部材を収容し、前記基板を処理する反応管と、
 前記反応管内に処理ガスを供給する処理ガス供給系と、
 前記反応管内の雰囲気を排気する排気系と、を有し、
前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、
前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、
前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成された半導体製造装置が提供される。
 本発明によれば、膜厚均一性を改善するとともに生産性を向上させることが可能な技術を提供することができる。
本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分の縦断面図である。 本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の一部の概略構成図であり、反応管の横断面図である。 本発明の実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図である。 本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の一部の概略構成図であり、反応管部分の縦断面図である。 本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の一部の概略構成図であり、反応管の上部を拡大した拡大図である。 本発明の第2の実施形態で好適に用いられる反応管の横断面図である。 本発明の第2の実施形態で好適に用いられる反応管の縦断面図である。 従来例における処理ガスの流れを表す概略図である。 本発明における処理ガスの流れを表す概略図である。
 以下、本発明の第1の実施形態について、図1を用いて説明する。本発明における基板処理装置は、半導体装置の製造に使用される半導体製造装置の一例として構成されているものである。
 図1に示すように、処理炉202は加熱手段(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。ヒータ207は、処理ガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
 ヒータ207の内側には、ヒータ207と同心円状に反応容器(処理容器)を構成する単管構造の反応管203が配設されている。反応管203は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料から形成されている。反応管203は、下端部が開放され、上端部が平坦状の壁体で閉塞された有天井の形状で形成され、いる。反応管203の側壁は、円筒形状に形成された円筒部209と、円筒部209の外壁に設けられたガス供給エリア222とガス排気エリア224とを備えている。反応管203の円筒部209の内部には、処理室201が形成されている。処理室201は、基板としてのウエハ200を処理可能に構成されている。また、処理室201は、ウエハ200を水平姿勢で垂直方向に多段に整列した状態で保持可能なボート217を収容可能に構成されている。
 ガス供給エリア222は、凸部が円筒部209の一側壁の外側に突出するように形成されている。ガス供給エリア222の外壁は、円筒部209の外壁の一部としての一側壁の外側に円筒部209の外径よりも大きく、円筒部209と同心円状に形成されている。ガス供給エリア222は、下端部が開放され、上端部が平坦状の壁体で閉塞された有天井の形状で構成されている。ガス供給エリア222は、その長さ方向(上下方向)に沿って後述するノズル410a~410cが収容され、ガス供給エリア222と円筒部209との間の境界を構成する壁体である境界壁252には後述するガス供給スリット235が形成されている。境界壁252は円筒部209の一側壁であって、その外側面は、ガス供給エリア222に面する側面部分を構成する。
 円筒部209のガス供給エリア222が形成された一側壁に対向する他側壁には、ガス排気エリア224が形成される。ガス排気エリア224は、ガス供給エリア222との間に処理室201のウエハ200が収容される領域を挟むように配置されている。ガス排気エリア224は、凸部が円筒部209のガス供給エリア222が形成された一側壁に対向する他側壁の外側に突出するように形成されている。ガス排気エリア224の外壁は、円筒部209の外壁の一部としての他側壁の外側に円筒部209の外径よりも大きく、円筒部209と同心円状に形成されている。ガス排気エリア224は、下端部がと上端部が平坦状の壁体で閉塞された有天井の形状で構成されている。
ガス排気エリア224と円筒部209との間の境界を構成する壁体である境界壁254には後述するガス排気スリット236が形成されている。境界壁254は円筒部209の一部であって、その外側面は、ガス排気エリア224に面する側面部分を構成する。
 反応管203の下端は、円筒体状のマニホールド226によって支持されている。マニホールド226は、例えばニッケル合金やステンレス等の金属で形成されるか、若しくは石英(SiO)または炭化シリコン(SiC)等の耐熱性材料で形成されている。マニホールド226の上端部にはフランジが形成されており、このフランジ上に反応管203の下端部を設置して支持する。このフランジと反応管203の下端部との間にはOリング等の気密部材220を介在させて反応管203内を気密状態にしている。
マニホールド226の下端の開口部には、シールキャップ219がOリング等の気密部材220を介して気密に取り付けられており、反応管203の下端の開口部側、すなわちマニホールド226の開口部を気密に塞ぐようになっている。シールキャップ219は、例えばニッケル合金やステンレス等の金属で形成され、円盤状に形成されている。シールキャップ219は、石英(SiO)または炭化シリコン(SiC)等の耐熱性材料でその外側を覆うように構成されてもよい。
 シールキャップ219上にはボート217を支持するボート支持台218が設けられている。ボート支持台218は、例えば石英や炭化珪素等の耐熱性材料で構成され断熱部として機能すると共にボートを支持する支持体となっている。ボート217は、ボート支持台218上に立設されている。ボート217は例えば石英や炭化珪素等の耐熱性材料で構成されている。ボート217は図示しないボート支持台に固定された底板とその上方に配置された天板とを有しており、底板と天板との間に複数本の支柱が架設された構成を有している。ボート217には複数枚のウエハ200が保持されている。複数枚のウエハ200は、互いに一定の間隔をあけながら水平姿勢を保持しかつ互いに中心を揃えた状態で反応管203の管軸方向に多段に積載されボート217の支柱に支持されている。
 シールキャップ219の処理室201と反対側にはボートを回転させるボート回転機構267が設けられている。ボート回転機構267の回転軸265はシールキャップを貫通してボート支持台218に接続されており、ボート回転機構267によって、ボート支持台218を介してボート217を回転させることでウエハ200を回転させる。
 シールキャップ219は反応管203の外部に設けられた昇降機構としてのボートエレベータ115によって垂直方向に昇降され、これによりボート217を処理室201内に対し搬入搬出することが可能となっている。
 マニホールド226には、ノズル340a~340cを支持するノズル支持部350a~350cが、L字状に屈曲されてマニホールド226を貫通するようにして設置されている。ここでは、3本のノズル支持部350a~350cが設置されている。ノズル支持部350a~350cは、例えばニッケル合金やステンレス等の材料から形成される。ノズル支持部350の反応管203側の一端には反応管203内へガスを供給するガス供給管310a~310cがそれぞれ接続されている。また、ノズル支持部350a~350cの他端にはノズル340a~340cがそれぞれ接続されている。ノズル340a~340cは、例えば石英またはSiC等の耐熱性材料から形成される。
 ノズル340a~340cはガス供給エリア222内の下部より上部に、その長さ方向(上下方向)に沿って設けられている。ノズル340a~340cは、I字型のロングノズルとしてそれぞれ構成されている。ノズル340a~340cの側面には、ガスを供給するガス供給孔232a~232cがそれぞれ設けられている。ガス供給孔232a~232cは、それぞれ反応管203の中心を向くように開口している。このように、ガス供給エリア222には、3本のノズル340a~340cが設けられており、処理室201内へ複数種類のガスを供給することができるように構成されている。
 以上の処理炉202では、バッチ処理される複数枚のウエハ200がボート217に対し多段に積層された状態において、ボート217がボート支持台218で支持されながら処理室201に挿入され、ヒータ207が処理室201に挿入されたウエハ200を所定の温度に加熱するようになっている。
 ガス供給管310aには、上流方向から順に、第1処理ガスを供給する第1処理ガス供給源360a、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241aおよび開閉弁であるバルブ243aがそれぞれ設けられている。ガス供給管310bには、上流方向から順に、第2処理ガスを供給する第1処理ガス供給源360b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241bおよび開閉弁であるバルブ243bがそれぞれ設けられている。ガス供給管310cには、上流方向から順に、第3処理ガスを供給する第1処理ガス供給源360c、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241cおよび開閉弁であるバルブ243cがそれぞれ設けられている。ガス供給管310a~310cのバルブバルブ243a~243cよりも下流側には、不活性ガスを供給するガス供給管310d~310fがそれぞれ接続されている。ガス供給管310d~310fには、上流方向から順に、流量制御器(流量制御部)であるMFC241d~241fおよび開閉弁であるバルブ243d~243fがそれぞれ設けられている。
 主に、ガス供給管310a、MFC320a、バルブ330aにより第1処理ガス供給系が構成される。第1処理ガス供給源360a、ノズル支持部350a、ノズル340aを第1処理ガス供給系に含めて考えても良い。また、主に、ガス供給管310b、MFC320b、バルブ330bにより第2処理ガス供給系が構成される。第2処理ガス供給源360b、ノズル支持部350b、ノズル340bを第2処理ガス供給系に含めて考えても良い。また、主に、ガス供給管310c、MFC320c、バルブ330cにより第3処理ガス供給系が構成される。第3処理ガス供給源360c、ノズル支持部350c、ノズル340cを第3処理ガス供給系に含めて考えても良い。なお、本明細書において、処理ガスという言葉を用いた場合は、第1処理ガスのみを含む場合、第2処理ガスのみを含む場合、第3処理ガスのみを含む場合、もしくはそれら全てを含む場合がある。また、処理ガス供給系という言葉を用いた場合は、第1処理ガス供給系のみを含む場合、第2処理ガス供給系のみを含む場合、第3処理ガス供給系のみを含む場合、もしくはそれら全てを含む場合がある。
 ガス排気エリア224の下部には排気口230が設けられている。排気口230は排気管231に接続されている。排気管232には処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245および圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ244を介して真空排気装置としての真空ポンプ246が接続されており、処理室201内の圧力が所定の圧力(真空度)となるよう真空排気し得るように構成されている。真空ポンプ246の下流側の排気管232は廃ガス処理装置(図示せず)等に接続されている。なお、APCバルブ244は、弁を開閉して処理室201内の真空排気・真空排気停止ができ、更に弁開度を調節してコンダクタンスを調整して処理室201内の圧力調整をできるようになっている開閉弁である。主に、排気管232、APCバルブ244、圧力センサ245により排気系が構成される。なお、真空ポンプ246も排気系に含めてもよい。
 反応管203内には温度検出器としての後述する温度センサ238が設置されており、温度センサ238により検出された温度情報に基づきヒータ207への供給電力を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。
 図3に示すように、制御部(制御手段)であるコントローラ280は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ280には、例えばタッチパネル等として構成された入出力装置122が接続されている。
 記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理工程における各手順をコントローラ280に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
 I/Oポート121dは、上述のMFC241a~241f、バルブ243a~243f、圧力センサ245、APCバルブ244、真空ポンプ246、ヒータ207、温度センサ238、ボート回転機構267、ボートエレベータ115等に接続されている。
 CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピを読み出すように構成されている。CPU121aは、読み出したプロセスレシピの内容に沿うように、MFC241a~241fによる各種ガスの流量調整動作、バルブ243a~243fの開閉動作、APCバルブ244の開閉動作および圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ238に基づくヒータ207の温度調整動作、ボート回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作等を制御するように構成されている。
 コントローラ280は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123を用意し、この外部記憶装置123を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態のコントローラ280を構成することができる。但し、コンピュータにプログラムを供給するための手段は、外部記憶装置123を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置123を介さずにプログラムを供給するようにしてもよい。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。
 次に、第1の実施形態にて好適に用いられる反応管203の形状について、さらに図2、図4、図5を参照して説明する。
 図2に示すように、ガス供給エリア222およびガス排気エリア224の内部には、各エリア内空間を複数の空間に区画する内壁248、250が形成されている。
内壁248、250は、反応管203と同一材料で形成され、例えば、石英(SiO)または炭化シリコン(SiC)等の耐熱性材料から形成されている。
ここでは、それぞれ2つの内壁を備え、3つの空間に区画されている。
ガス供給エリア222内を区画する2つの内壁248は、ガス供給エリア222を下端側から上端側に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けられている。ガス供給エリア222の各空間には、ノズル340a~340cがそれぞれ設置されている。内壁248により、各ノズル340a~340cはそれぞれ独立した空間内に設置されるため、各ノズル340a~340cから供給される処理ガスがガス供給エリア222内で混ざり合う事を抑制することができる。
このような構成により、ガス供給エリア222内で処理ガスが混ざり合って薄膜が形成されたり、副生成物が生成されたりすることを抑制することができる。
好適には、内壁248は、ガス供給エリア222を下端から上端に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けると良い。
ガス排気エリア224内を区画する2つの内壁250は、ガス排気エリア224を下端側から上端側に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けられている。
好適には、内壁250は、ガス排気エリア224を下端側から上端に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けると良い。
好適には、ガス供給エリア222およびガス排気エリア224の外壁の外径は、同一寸法とすると、ヒータ207との間のデッドスペースを少なくすることができる等のメリットがある。
また、好適には、ガス供給エリア222とガス排気エリア224それぞれのガスの流路断面積は同じ面積とする。また、好適には、ガス供給エリア222内の各空間のガスの流路断面積と、ガス供給エリア222内の各空間に対面するガス排気エリア224内の各空間のガスの流路断面積を同じ面積とする。
 図4に示すように、円筒部209のガス供給エリア222側の境界壁252の下端には、ノズル340a~340cをガス供給エリア222内に設置するための開口部256が形成されている。ノズル340a~340cを設置する際は、開口部256から各空間にノズル340a~340cを挿入し、ノズル340a~340cの下端をノズル支持部350a~350cの上端より一旦高く持ち上げてから、ノズル340a~340cの下端がノズル支持部350a~350cの上端よりも低くなるようにすることで差し込む。
ノズル340a~340cを一旦持ち上げてガス支持部350a~350cに設置する際に、ノズル340a~340cの上端がガス供給エリア222の上端にある天井部に接触しないように、ガス供給エリア222のノズル340a~340c上端より上部にバッファ領域258が形成されている(図5参照)。ガス供給エリア222の上端側は、少なくともバッファ領域258の分だけ、ガス排気エリア224の天井部よりも高くなるように構成されている。
本実施形態では、ガス供給エリア222の天井部の上端は円筒部209の天井部の上端と同じ高さであり、ガス排気エリア224の天井部の上端は円筒部209の天井部の上端よりも低くなるように構成されている。
言い換えれば、バッファ領域258の分だけガス供給エリア222の容積はガス排気エリア224の容積よりも大きくなっているように構成されている。なお、本実施形態ではガス排気エリア224の上端の高さをガス供給エリア222の上端よりも低く構成しているが、ガス排気エリア224が容積のサイズによる排気バランスへの影響や副生成物の付着具合への影響等が問題ない場合には、同じ高さに構成しても良い。
ガス排気エリア224内の内壁250は、ガス排気エリア224の天井部の上端から下端側の排気口230上端よりも高い位置まで形成されている。ガス排気エリア224の下端側の排気口230上端よりも高い位置からガス排気エリア224の下端までは、1つの空間として構成されている。
ガス排気エリア224の内壁250で区画された各空間を流通したガスは、排気口230の手前の1つの空間にて合流し、排気口230から排気されるようになっている。このような構成とすることにより、
 ガス供給エリア222内の内壁248は、ガス供給エリア222の天井部から反応管203の下端部上部まで形成されている。具体的には、内壁248の下端は、開口部256の上端よりも下側まで形成される。内壁248の下端は、反応管203の下端部よりも上側であって、ノズル支持部350の上端部よりも下側になる領域として形成されている。ガス供給エリア222内の内壁248の長さは、反応管203の長さよりも短く、境界壁252の長さよりも長くなるよう構成されている。また、ガス供給エリア222内の内壁248の方が、ガス排気エリア224内の内壁250よりも長くなるように構成されている。
 図4に示すように、円筒部209とガス供給エリア222との境界壁252には、処理室201内に処理ガスを供給するためのガス供給スリット235が形成されている。ガス供給スリット235は、上下左右方向に複数段、複数列のマトリクス状に形成されている。すなわち、ガス供給エリア222内の内壁248で区画された各空間それぞれに対向した横長のスリットが上下方向に複数形成されている。
好適には、ガス供給スリット235の円筒部209周方向の長さはガス供給エリア222内の各空間の周方向の長さと同じにするとガス供給効率が向上するので良い。また、好適には、ガス供給スリット235は、内壁248と境界壁252との連結部分を除いて横長に、縦複数段に形成するとガス供給効率が向上するので良い。また、好適には、ガス供給スリット235の列数は区画された空間と同じ数に形成されると良い。本実施形態では、3つの空間が形成されているため、ガス供給スリット235は3列形成されている。
 円筒部209とガス排気エリア224との境界壁254に、処理室201内の雰囲気を排気するためのガス排気スリット236が形成されている。ガス排気スリット236は、上下左右方向に複数段、複数列のマトリクス状に形成されている。すなわち、ガス供給エリア222内の内壁248で区画された各空間それぞれに対向し、円筒部の周方向に長く形成された横長のスリットが上下方向に複数形成されている。
好適には、ガス排気スリット236の円筒部209周方向の長さはガス排気エリア224内の各空間の周方向の長さと同じにするとガス排気効率が向上するので良い。また、好適には、ガス排気スリット236は、内壁250と境界壁254との連結部分を除いて横長に、縦複数段に形成するとガス排気効率が向上するので良い。また、好適には、ガス排気スリット236の列数は区画された空間と同じ数に形成されると良い。本実施形態では、3つの空間が形成されているため、ガス排気スリット236は3列形成されている。
 ガス供給スリット235およびガス排気スリット236は、それぞれの四隅としてのエッジ部が曲面を描くように滑らかに形成されている。エッジ部にRがけ等を行い、曲面状にすることにより、エッジ部周縁のガスのよどみを抑制することができ、エッジ部の膜の形成を抑制することができ、さらに、エッジ部に形成される膜の膜剥がれを抑制することができる。
 図5に示すように、ガス供給スリット235およびガス排気スリット236は、処理室201に収容された状態のボート217に複数段載置された、隣り合うウエハ200とウエハ200との間にそれぞれ配置されるように形成されている。図5では、ボート217を省略して説明する。
好適には、ボート217に載置可能な最下段のウエハ200とその上側に隣り合うウエハ200との間から、最上段のウエハ200とその上側に隣り合うボート217の天板との間に至るまで、各ウエハ200間、ウエハ200と天板間に対し1段ずつ対向するように形成されると良い。
好適には、各ガス供給スリット235と各ガス排気スリット236とは同じ高さ、同じ数に形成されると良い。例えば、ウエハ200が25枚載置されるときは、ガス供給スリット235とガス排気スリット234は25段形成されると良い。
 好適には、ガス供給スリット235およびガス排気スリット236は、一定の縦幅L1で形成すると良い。隣り合うウエハ200間の間隔をL2とすると、L1の方がL2より小さくなるようにガス供給スリット235およびガス排気スリット234が形成されると良い。このように構成することで、ガス供給スリット235からウエハ200へ流れるガスのよどみの発生を抑制でき、さらに、処理室201からガス排気スリット236へ流れるガスのよどみの発生を抑制することができる。
好適には、L1は1mm~9mm程度の範囲内とすると良く、さらに好適には3~7mm程度の範囲内とすると良い。また、L2は6~14mm程度の範囲内とすると良く、さらに好適には8~12mm程度の範囲内とすると良い。
 ノズル340a~340cのガス供給孔234a~234cは各ガス供給スリット235に対し1個ずつ対応するように、各ガス供給スリット235の縦幅の中央部分に形成すると良い。例えば、ガス供給スリット235が25個形成されているときは、それぞれ25個のガス供給孔234a~234cが形成されると良い。すなわち、ガス供給スリット235とガス供給孔234a~234cは、載置されるウエハ200と同数形成されると良い。このようなスリット構成とすることにより、ウエハ200上にウエハ200に平行な処理ガスの流れを形成することができる(図5矢印参照)。
 また、ガス排気エリア224には周方向に長くスリットが形成されているため、ウエハ200上を流れる処理ガスの流れを乱すことなく、排気を行う事が出来る。例えば、ガス排気スリットを孔状に形成した場合、処理ガスの流れが孔に向かって集中するため、ウエハ200上において均一なガスの流れを形成することができない。これに対し、本実施形態においては、ガス排気スリットを横長に形成しているため、排気側に近づくにつれて集中した処理ガスの流れが形成されることなく、ウエハ200上において流れを整流し、均一に処理ガスを供給することが可能となる。
次に、本発明に関わる基板処理装置の動作概要について説明する。なお、基板処理装置は、コントローラ280により制御されるものである。
所定枚数のウエハ200が載置されたボート217が反応管203内に挿入され、シールキャップ219により、反応管203が気密に閉塞される。気密に閉塞された反応管203内では、ウエハ200が加熱されると共に、処理ガスが反応管203内に供給され、ウエハ200に加熱等の熱処理がなされる。
 熱処理として、例えば、第1処理ガスとしてNHガスと、第2処理ガスとしてHCDSガスと、第3処理ガスとしてNガスとを交互供給(HCDSガス供給→Nパージ→NHガス供給→Nパージを1サイクルとしてこのサイクルを所定回数繰り返すこと)することにより、ウエハ200上にSiN膜を形成する。処理条件は、例えば下記のとおりである。
 ウエハ200の温度:100~600℃
 処理室内圧力:1~3000Pa
 HCDSガス供給流量:1~2000sccm
NHガス供給流量:100~10000sccm
 Nガス供給流量:10~10000sccm
 SiN膜の膜厚:0.2~10nm
 まず、第2処理ガス供給系のガス供給管310bよりノズル350bのガス供給孔234b、ガス供給スリット235を介して処理室201内にHCDSガスを供給する。具体的には、バルブ330b、330eを開けることにより、キャリアガスと共に、ガス供給管310bからHCDSガスの処理室201内への供給を開始する。このとき、APCバルブ244の開度を調整して、処理室201内の圧力を所定の圧力に維持する。所定時間が経過したら、バルブ330bを閉じ、HCDSガスの供給を停止する。
 処理室201内に供給されたHCDSガスは、ウエハ200に供給され、ウエハ200上を平行に流れた後、ガス排気スリット236を通ってガス排気エリア222を上部から下部へと流れ、ガス排気エリア222下部の排気口230を介して排気管232から排気される。
 なお、処理室201内にHCDSガスを供給する間、ガス供給管310aおよび310cに接続される不活性ガス供給管のバルブ330aおよび330cを開けてN等の不活性ガスを流すと、ガス供給管320内にHCDSガスが回り込むのを防ぐことができる。
 バルブ330bを閉じ、処理室201内へのHCDSガスの供給を停止した後は、APCバルブ244を開けて処理室201内の排気し、処理室201内に残留しているHCDSガスや反応生成物等を排除する。この時、不活性ガス供給管310aおよび310cからN等の不活性ガスをそれぞれ処理室201内に供給してパージすると、処理室201内からの残留ガスを排除する効果をさらに高めることができる。所定時間経過後、バルブ330eを閉じる。
 次に、第1処理ガス供給系のガス供給管310aよりノズル350aのガス供給孔234a、ガス供給スリット235を介して処理室201内にNHガスガスを供給する。具体的には、バルブ330a、330dを開けることにより、キャリアガスと共に、ガス供給管310aからNHガスの処理室201内への供給を開始する。このとき、APCバルブ244の開度を調整して、処理室201内の圧力を所定の圧力に維持する。所定時間が経過したら、バルブ330aを閉じ、NHガスの供給を停止する。
 処理室201内に供給されたNHガスは、ウエハ200に供給され、ウエハ200上を平行に流れた後、ガス排気スリット236を通ってガス排気エリア222を上部から下部へと流れ、ガス排気エリア222下部の排気口230を介して排気管232から排気される。
 なお、処理室201内にNHガスを供給する間、ガス供給管310bおよび310cに接続される不活性ガス供給管のバルブ330eおよび330fを開けてN等の不活性ガスを流すと、ガス供給管320内にNHガスが回り込むのを防ぐことができる。
 バルブ330aを閉じ、処理室201内へのNHガスの供給を停止した後は、APCバルブ244を開けて処理室201内の排気し、処理室201内に残留しているHCDSガスや反応生成物等を排除する。この時、不活性ガス供給管310eおよび310fからN等の不活性ガスをそれぞれ処理室201内に供給してパージすると、処理室201内からの残留ガスを排除する効果をさらに高めることができる。所定時間経過後、バルブ330eを閉じる。
  ウエハ200の処理が完了すると、上記した動作の逆の手順により、ボート217が反応管203内から搬出される。ウエハ200は、ウエハ移載機112により、ボート217から移載棚123のカセット100に移載され、カセット100は、カセット搬送機115により、移載棚123からカセットステージ105に移載され、図示しない外部搬送装置により、筐体101の外部に搬出される。
上述の実施形態では、第1処理ガスと第2処理ガスとを交互に供給する場合について説明したが、同時に供給した場合においても本発明は適用できる。
 図8に示すように、従来の反応管構成による処理ガス供給の場合、処理ガスはガス供給孔から上下左右にわたって円錐状に供給される。処理ガスがウエハ200に平行な方向(左右方向)だけではなく、上下方向にも広く供給されることにより、ウエハ200のエッジと反応管との間の空間に処理ガスが流れてしまい、ウエハ200間に十分な量の処理ガスを供給できない。このため、ガス供給孔近傍の膜が厚くなり均一な膜厚が得られない。また、処理ガスの置換効率が悪く、生産性が悪化してしまう。
これに対し、図9に示すように、本実施形態においては、ガス供給孔の下流側に横長のガス供給スリット235が形成されている。上下方向に供給された処理ガスは境界壁254にぶつかるため、そのまま処理室201内に供給されることはない。境界壁254にぶつかった処理ガスは、ガス供給エリア222内を拡散し、ガス供給スリット235の形状に沿って横長(左右方向)に広がって処理室201内に供給される。ガス供給スリット235の縦幅はウエハ200間の間隔よりも短く形成されているため、ガス供給スリット235を通った処理ガスは、多少上下方向に広がったとしても、ウエハ200のエッジと反応管との間の空間に処理ガスが流れることはなく、ウエハ200間に十分な量を供給することができ、膜厚の偏りを軽減できる。
 本実施形態においては、ガス供給エリア222とガス排気エリア224とを円筒部209(処理室201)の外側に形成している。このような構成により、反応管203の容積を従来の反応管よりも小さくすることが可能となる。円筒部209とウエハ200のエッジとの間隔をS1とすると(図5参照)、すなわち、従来の反応管に比べて容積を30%程度削減することが可能となるため、生産性を向上させることが可能となる。
 上述の実施形態においては、ガス供給エリア222とガス排気エリア224とを3つの空間に区画したが、2つの空間に区画しても良いし、4つ以上の空間に区画しても良い。所望の加熱処理に必要なノズルの本数に合わせて、区画する空間の数は適宜変更可能である。
 また、ノズルの形状を各々変更しても良い。例えば、真ん中の空間に設置されるノズルのガス供給孔を内壁に向けて開口させても良い。ガス供給口をウエハ200ではなく内壁に向けて開口させることにより、処理ガスを空間内で拡散させ、各ガス供給スリットから均一に処理ガスを供給させることが可能になる。
(3)本実施形態による効果
 本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
(a)ガス供給エリアとガス排気エリアとを処理室の外側に形成することにより、処理室にガスを供給するガス供給媒体としてのノズルを設置する必要がないため、基板のエッジと反応管内壁との間隔を短くすることができ、また、反応管の容積を従来の反応管よりも大幅に小さくすることが可能となる。これにより、ウエハのエッジと反応管内壁との間の間隙から処理ガスが流れることを抑制することができ、
基板間に十分な量の処理ガスを供給でき、処理ガスの置換効率を向上させることが可能となる。
(b)供給バッファエリアおよび排気バッファエリアに内壁を形成することにより、ガス供給エリアとガス排気エリアとを処理室の外側に形成することによる反応管の強度の低下を補うことができる。これにより、反応管の容積を小さくしつつも反応管の破損のリスクを低下させることができる。
(c)ガス供給スリットおよびガス排気スリットのエッジ部が曲面を描くように滑らかに形成することにより、エッジ部周縁のガスのよどみを抑制することができ、エッジ部の膜の形成を抑制することができ、さらに、エッジ部に形成される膜の膜剥がれを抑制することができる。
(d)ガス供給エリアの内部の内壁により、ノズルがそれぞれ隔離した空間内に設置されるため、各ノズルから供給される処理ガスがガス供給エリア内で混ざり合う事を抑制することができる。このような構成により、ガス供給エリア内で処理ガスが混ざり合って薄膜が形成されたり、副生成物が生成されたりすることを防ぐことができ、パーティクル発生による歩留り低下を抑制することができる。また、各空間内で処理ガスの流速を緩和させることができるため、突発的な処理ガスの流速変化を生じさせることなく、処理室内への均一な処理ガスの供給を促進させ、生産性を向上させることが可能となる。
(e)ガス供給エリアのノズル上端より上部にバッファ領域が形成されることにより、ノズル交換を安全に行う事が出来る。
 次に、本発明の第2の実施形態について説明する。本実施の形態は、ガス排気エリアに温度センサ238を設置するために、ガス排気エリア224の両端に温度測定エリア260を形成した点で第1の実施形態と相違する。以下、第2の実施形態において好適に用いられる反応管203の形状について図6を参照して説明する。なお、第1の実施形態と同じ構成については説明を省略する。
 図6に示すように、ガス排気エリア224の両端には、温度センサ238が収納される温度測定エリア260が形成されている。温度測定エリア260は、下端部と上端部とが平坦に閉塞された有天井の形状で構成され、その外壁は円筒部209と同心円状に形成されている。また、温度測定エリア260はガス排気エリア224と内壁252を介して連続して形成されている。温度測定エリア260と円筒部209との境界壁にはガス排気スリット236は形成されていない。すなわち、温度測定エリア260はガス排気エリア224および処理室201から空間的に独立して形成されている。このような構成とすることにより、温度センサ238が処理ガスに曝されることを防ぐことができるため、温度センサ238の劣化を抑制することが可能となる。
 図7に示すように、温度センサ238により、ボート217に載置された最上段のウエハ200上方における処理室201内の温度を計測するために、温度測定エリア260の天井部の高さは、円筒部209の高さと同じ高さに形成されている。また、ガス排気エリア224の天井部も同様に、円筒部209の高さと同じ高さに形成される。すなわち、本実施例においては、ガス供給エリア222とガス排気エリア224と温度測定エリア260と円筒部209との天井部の高さが同じ高さに、平坦になるように形成されている。このような構成とすることにより、処理室201内を上下にわたって温度測定することが可能となり、ヒータ207による処理室201内の均一な加熱を行う事が出来る。また、反応管203の強度を高めることが可能となる。また、ガス排気エリア224の両端に温度測定エリア260を形成することにより、メンテナンス性を向上させることができる。
 基板処理装置で行われる成膜処理には、例えば、CVD、PVD、ALD、Epi、その他酸化膜、窒化膜を形成する処理、金属を含む膜を形成する処理がある。更に、アニール処理、酸化処理、拡散処理等の処理でも構わない。
<本発明の好ましい態様>
 以下、本発明の好ましい態様について付記する。
(付記1)
複数枚の基板を保持する基板保持部材と、
 前記基板保持部材を収容し、前記基板を処理する反応管と、
 前記反応管内に処理ガスを供給する処理ガス供給系と、
 前記反応管内の雰囲気を排気する排気系と、を有し、
前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、
前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、
前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成された基板処理装置が提供される。
(付記2)
付記1に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアと前記円筒部との境界壁に前記処理ガスを前記円筒部内に供給するガス供給スリットが形成される。
(付記3)
 付記1または2に記載の基板処理装置であって、好ましくは、
 前記ガス排気エリアと前記円筒部との境界壁に前記円筒部内の雰囲気を排気するガス排気スリットが形成される。
(付記4)
 付記3に記載の基板処理装置であって、好ましくは、
 前記ガス供給スリットおよび前記ガス排気スリットは、前記複数の空間それぞれに対向した位置に、上下方向に複数に形成されている。
(付記5)
 付記3または4に記載の基板処理装置であって、好ましくは、前記ガス供給スリットおよび前記ガス排気スリットは前記円筒部の周方向に長くに形成され、その両端部が曲面状に形成されている。
(付記6)
 付記1乃至5に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアの横断面積と前記ガス排気エリアの横断面積とは同じである。
(付記7)
 付記1乃至6に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアと前記ガス排気エリアとは同じ数だけ内壁を有し、同じ空間数に区画される。
(付記8)
 付記6または付記7に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアの各空間の横断面積と前記ガス供給エリアの各空間に対面する前記ガス排気エリアの各空間の横断面積とは同じ面積である。
(付記9)
 付記1乃至8に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアの容積の方が前記ガス排気エリアの容積より大きい。
(付記10)
 付記9に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアの内壁の長さの方が前記ガス排気エリアの内壁の長さよりも長い。
(付記11)
 付記1乃至10に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアと前記円筒部との境界壁の下端に開口部が形成されている。
(付記12)
 付記11に記載の基板処理装置であって、好ましくは、
 前記ガス供給エリアの内壁の長さは、前記円筒部の長さよりも短く、かつ、前記ガス供給エリアと前記円筒部との前記境界壁の長さよりも長い。
(付記13)
 付記1乃至12に記載の基板処理装置であって、好ましくは、
 前記ガス供給スリットの縦の長さは、前記基板間の間隔よりも短い。
(付記14)
 付記4乃至13に記載の基板処理装置であって、好ましくは、
 前記ガス供給スリットおよび前記ガス排気スリットの段数は前記基板の枚数と同数である。
(付記15)
 付記4乃至付記14に記載の基板処理装置であって、好ましくは、
 前記ガス供給スリットおよび前記ガス排気スリットの列数は、前記ガス供給エリアの前記空間数および前記ガス排気エリアの前記空間数と同数である。
(付記16)
 付記15に記載の基板処理装置であって、好ましくは、
 前記ガス供給スリットおよび前記ガス排気スリットの横の長さは、前記ガス供給エリアの前記空間および前記ガス排気エリアの前記空間の横の長さと同じである。
(付記17)
 付記1に記載の基板処理装置であって、好ましくは、
 前記ガス排気エリアに隣接して前記反応管内の温度を測定する温度センサが内部に設置された温度測定エリアが形成されている。
(付記18)
 本発明の別の一態様によれば、
 上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に形成されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成されたガス排気エリアとで構成された反応管の円筒部内に基板を搬送する工程と、
 その内部の空間を複数の空間に区画する内壁を備えたガス供給エリアから前記円筒部内に処理ガスを供給する工程と、
 その内部の空間を複数の空間に区画する内壁を備えたガス排気エリアから前記円筒部内の雰囲気を排気する工程と、を有する半導体装置の製造方法および基板処理方法が提供される。
(付記19)
 本発明のさらに他の一態様によれば、
 上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に形成されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成されたガス排気エリアとで構成された反応管の円筒部内に基板を搬送する手順と、
 その内部の空間を複数の空間に区画する内壁を備えたガス供給エリアから前記円筒部内に処理ガスを供給する手順と、
 その内部の空間を複数の空間に区画する内壁を備えたガス排気エリアから前記円筒部内の雰囲気を排気する手順と、
 をコンピュータに実行させるプログラム、または、該プログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
(付記20)
 本発明のさらに他の一態様によれば、
 上端に閉塞部を有し、下端に開口部を有する円筒部と、
前記円筒部の一側壁の外側に形成されたガス供給エリアと、
前記ガス供給エリアの対向する前記円筒部の他側壁の外側に形成されたガス排気エリアと、を有し
前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成される反応管が提供される。
 本発明に係る基板処理装置、半導体装置の製造方法、反応管によれば、反応管の容積を削減し、処理ガスの置換効率を向上させることが可能となる。
280  コントローラ(制御部)
200  ウエハ
201  処理室
202  処理炉
203  反応管
207  ヒータ
222  ガス供給エリア
224  ガス排気エリア
231  排気管
310a~310f ガス供給管

Claims (11)

  1.  複数枚の基板を保持する基板保持部材と、
     前記基板保持部材を収容し、前記基板を処理する反応管と、
     前記反応管内に処理ガスを供給する処理ガス供給系と、
     前記反応管内の雰囲気を排気する排気系と、を有し、
    前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、
    前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、
    前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
    前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成された基板処理装置。
  2.  前記ガス供給エリアと前記円筒部との境界壁に前記処理ガスを前記円筒部内に供給するガス供給スリットが形成された請求項1記載の基板処理装置。
  3.  前記ガス排気エリアと前記円筒部との境界壁に前記円筒部内の雰囲気を排気するガス排気スリットが形成された請求項2記載の基板処理装置。
  4.  前記ガス供給スリットおよび前記ガス排気スリットは、前記複数の空間それぞれに対向した位置に、上下方向に複数形成されている請求項3記載の基板処理装置。
  5.  前記ガス供給スリットおよび前記ガス排気スリットは前記円筒部の周方向に長く形成され、その両端部が曲面状に形成されている請求項4記載の基板処理装置。
  6.  前記ガス供給エリアのガスの流路の断面積と前記ガス排気エリアのガスの流路の断面積とは同じ面積で形成されている請求項1記載の基板処理装置。
  7.  前記ガス供給エリアと前記円筒部との境界壁の下端に開口部が形成されている請求項6記載の基板処理装置。
  8.  前記ガス供給エリアの内壁の長さは、前記円筒部の長さよりも短く、かつ、前記ガス供給エリアと前記円筒部との前記境界壁の長さよりも長い請求項7記載の基板処理装置。
  9.  前記ガス供給エリアの内壁の長さの方が前記ガス排気エリアの内壁の長さよりも長い請求項8記載の基板処理装置。
  10.  上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に形成されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成されたガス排気エリアとで構成された反応管の円筒部内に基板を搬送する工程と、
     その内部の空間を複数の空間に区画する内壁を備えたガス供給エリアから前記円筒部内に処理ガスを供給する工程と、
     その内部の空間を複数の空間に区画する内壁を備えたガス排気エリアから前記円筒部内の雰囲気を排気する工程と、を有する半導体装置の製造方法。
  11.  上端に閉塞部を有し、下端に開口部を有する円筒部と、
    前記円筒部の一側壁の外側に形成されたガス供給エリアと、
    前記ガス供給エリアの対向する前記円筒部の他側壁の外側に形成されたガス排気エリアと、を有し
    前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成される反応管。
     
     
PCT/JP2014/076023 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管 WO2015041376A1 (ja)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US15/513,027 US10811271B2 (en) 2014-09-30 2014-09-30 Substrate processing device, manufacturing method for semiconductor device, and reaction tube
SG11201702331YA SG11201702331YA (en) 2014-09-30 2014-09-30 Substrate processing device, manufacturing method for semiconductor device, and reaction tube
KR1020187036811A KR102123942B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
KR1020207016533A KR102268374B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
PCT/JP2014/076023 WO2015041376A1 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管
KR1020177007859A KR101949060B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
JP2015538001A JP6257000B2 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管
TW104119992A TWI585853B (zh) 2014-09-30 2015-06-22 A substrate processing apparatus, a manufacturing method of a semiconductor device, and a reaction tube
US16/561,695 US10950457B2 (en) 2014-09-30 2019-09-05 Substrate processing device, manufacturing method for semiconductor device, and reaction tube
US17/166,256 US20210159083A1 (en) 2014-09-30 2021-02-03 Substrate processing device, manufacturing method for semiconductor device, and reaction tube

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2014/076023 WO2015041376A1 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/513,027 A-371-Of-International US10811271B2 (en) 2014-09-30 2014-09-30 Substrate processing device, manufacturing method for semiconductor device, and reaction tube
US16/561,695 Continuation US10950457B2 (en) 2014-09-30 2019-09-05 Substrate processing device, manufacturing method for semiconductor device, and reaction tube

Publications (1)

Publication Number Publication Date
WO2015041376A1 true WO2015041376A1 (ja) 2015-03-26

Family

ID=52689018

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2014/076023 WO2015041376A1 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管

Country Status (6)

Country Link
US (3) US10811271B2 (ja)
JP (1) JP6257000B2 (ja)
KR (3) KR102268374B1 (ja)
SG (1) SG11201702331YA (ja)
TW (1) TWI585853B (ja)
WO (1) WO2015041376A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180050708A (ko) * 2016-02-09 2018-05-15 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
CN108074845A (zh) * 2016-11-18 2018-05-25 株式会社日立国际电气 基板处理装置、反应管以及半导体装置的制造方法
JP2018088520A (ja) * 2016-11-18 2018-06-07 株式会社日立国際電気 基板処理装置、反応管及び半導体装置の製造方法
WO2018150615A1 (ja) * 2017-02-15 2018-08-23 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法及びプログラム
WO2019058553A1 (ja) * 2017-09-25 2019-03-28 株式会社Kokusai Electric 基板処理装置、石英反応管、クリーニング方法並びにプログラム
KR20190035548A (ko) 2017-09-26 2019-04-03 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 기록 매체
WO2019180905A1 (ja) * 2018-03-23 2019-09-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2019203182A (ja) * 2018-05-25 2019-11-28 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US20210108313A1 (en) * 2019-10-14 2021-04-15 Samsung Electronics Co., Ltd. Semiconductor manufacturing apparatus

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102241665B1 (ko) * 2015-09-04 2021-04-19 가부시키가이샤 코쿠사이 엘렉트릭 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017168513A1 (ja) * 2016-03-28 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6749268B2 (ja) * 2017-03-07 2020-09-02 東京エレクトロン株式会社 基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP6782362B2 (ja) 2017-08-30 2020-11-11 株式会社Kokusai Electric 保護プレート、基板処理装置及び半導体装置の製造方法
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6916766B2 (ja) 2018-08-27 2021-08-11 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10593572B2 (en) 2018-03-15 2020-03-17 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US10714362B2 (en) 2018-03-15 2020-07-14 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10903096B2 (en) * 2018-04-06 2021-01-26 Varian Semiconductor Equipment Associates, Inc. System and apparatus for process chamber window cooling
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR102501650B1 (ko) * 2018-08-03 2023-02-21 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102256106B1 (ko) * 2019-12-13 2021-05-27 주식회사 금강쿼츠 반도체 제조에 사용되는 압력보충용 2중관 노즐
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022124138A (ja) * 2021-02-15 2022-08-25 東京エレクトロン株式会社 処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113821066B (zh) * 2021-10-19 2022-07-15 中国工程物理研究院激光聚变研究中心 一种减小动态保护性气体对热处理过程温度控制影响的装置及方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2001077042A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd 縦型熱処理装置
JP2002222806A (ja) * 2001-01-26 2002-08-09 Ebara Corp 基板処理装置
JP2004162114A (ja) * 2002-11-13 2004-06-10 Mitsubishi Electric Corp 薄膜形成装置
JP2005317734A (ja) * 2004-04-28 2005-11-10 Hitachi Kokusai Electric Inc 基板処理装置
JP2007109711A (ja) * 2005-10-11 2007-04-26 Tokyo Electron Ltd 処理装置、処理方法及び記憶媒体
JP2011512031A (ja) * 2008-02-12 2011-04-14 チェ,キュ−ジョン バッチ型原子層蒸着装置
WO2013073887A1 (ko) * 2011-11-17 2013-05-23 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
JP2014207435A (ja) * 2013-03-21 2014-10-30 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05198517A (ja) 1992-01-21 1993-08-06 Tokyo Electron Ltd バッチ式ガス処理装置
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
US20070137794A1 (en) * 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5087657B2 (ja) 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2001077042A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd 縦型熱処理装置
JP2002222806A (ja) * 2001-01-26 2002-08-09 Ebara Corp 基板処理装置
JP2004162114A (ja) * 2002-11-13 2004-06-10 Mitsubishi Electric Corp 薄膜形成装置
JP2005317734A (ja) * 2004-04-28 2005-11-10 Hitachi Kokusai Electric Inc 基板処理装置
JP2007109711A (ja) * 2005-10-11 2007-04-26 Tokyo Electron Ltd 処理装置、処理方法及び記憶媒体
JP2011512031A (ja) * 2008-02-12 2011-04-14 チェ,キュ−ジョン バッチ型原子層蒸着装置
WO2013073887A1 (ko) * 2011-11-17 2013-05-23 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
JP2014207435A (ja) * 2013-03-21 2014-10-30 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102043876B1 (ko) * 2016-02-09 2019-11-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US11952664B2 (en) 2016-02-09 2024-04-09 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
KR20180050708A (ko) * 2016-02-09 2018-05-15 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US11542601B2 (en) 2016-02-09 2023-01-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
CN108074845A (zh) * 2016-11-18 2018-05-25 株式会社日立国际电气 基板处理装置、反应管以及半导体装置的制造方法
JP2018088520A (ja) * 2016-11-18 2018-06-07 株式会社日立国際電気 基板処理装置、反応管及び半導体装置の製造方法
CN108074845B (zh) * 2016-11-18 2021-12-03 株式会社国际电气 基板处理装置、反应管以及半导体装置的制造方法
US11359283B2 (en) 2016-11-18 2022-06-14 Kokusai Electric Corporation Reaction tube structure and substrate processing apparatus
WO2018150615A1 (ja) * 2017-02-15 2018-08-23 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法及びプログラム
CN110121764A (zh) * 2017-02-15 2019-08-13 株式会社国际电气 衬底处理装置、反应管、半导体器件的制造方法及程序
KR102238585B1 (ko) * 2017-02-15 2021-04-09 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
US10961625B2 (en) 2017-02-15 2021-03-30 Kokusai Electric Corporation Substrate processing apparatus, reaction tube and method of manufacturing semiconductor device
JPWO2018150615A1 (ja) * 2017-02-15 2019-11-07 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法及びプログラム
TWI657501B (zh) * 2017-02-15 2019-04-21 日商國際電氣股份有限公司 基板處理裝置、反應管、半導體裝置之製造方法及程式
KR20180121867A (ko) * 2017-02-15 2018-11-09 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
WO2019058553A1 (ja) * 2017-09-25 2019-03-28 株式会社Kokusai Electric 基板処理装置、石英反応管、クリーニング方法並びにプログラム
JPWO2019058553A1 (ja) * 2017-09-25 2020-03-26 株式会社Kokusai Electric 基板処理装置、石英反応管、クリーニング方法並びにプログラム
US10453735B2 (en) 2017-09-26 2019-10-22 Kokusai Electric Corporation Substrate processing apparatus, reaction tube, semiconductor device manufacturing method, and recording medium
JP2019062053A (ja) * 2017-09-26 2019-04-18 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
KR20190035548A (ko) 2017-09-26 2019-04-03 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 기록 매체
TWI701084B (zh) * 2018-03-23 2020-08-11 日商國際電氣股份有限公司 基板處理裝置,半導體裝置的製造方法及記錄媒體
JPWO2019180905A1 (ja) * 2018-03-23 2021-03-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2019180905A1 (ja) * 2018-03-23 2019-09-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2019203182A (ja) * 2018-05-25 2019-11-28 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
JP2021114606A (ja) * 2018-05-25 2021-08-05 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US11015248B2 (en) * 2018-05-25 2021-05-25 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
JP7103696B2 (ja) 2018-05-25 2022-07-20 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US11555246B2 (en) 2018-05-25 2023-01-17 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US20190360098A1 (en) * 2018-05-25 2019-11-28 Kokusai Electric Corporation Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device
US20210108313A1 (en) * 2019-10-14 2021-04-15 Samsung Electronics Co., Ltd. Semiconductor manufacturing apparatus
US11913114B2 (en) * 2019-10-14 2024-02-27 Samsung Electronics Co., Ltd. Semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
KR101949060B1 (ko) 2019-05-20
KR102268374B1 (ko) 2021-06-23
US20190393045A1 (en) 2019-12-26
JP6257000B2 (ja) 2018-01-10
JPWO2015041376A1 (ja) 2017-07-06
US20170294318A1 (en) 2017-10-12
SG11201702331YA (en) 2017-04-27
KR20200070430A (ko) 2020-06-17
US10950457B2 (en) 2021-03-16
TW201630070A (zh) 2016-08-16
US20210159083A1 (en) 2021-05-27
KR102123942B1 (ko) 2020-06-17
KR20180137607A (ko) 2018-12-27
TWI585853B (zh) 2017-06-01
KR20170042789A (ko) 2017-04-19
US10811271B2 (en) 2020-10-20

Similar Documents

Publication Publication Date Title
JP6257000B2 (ja) 基板処理装置、半導体装置の製造方法および反応管
US11495477B2 (en) Substrate processing apparatus
KR102238585B1 (ko) 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
US10593572B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US11396700B2 (en) Substrate processing apparatus
US11685992B2 (en) Substrate processing apparatus, quartz reaction tube and method of manufacturing semiconductor device
US20190287829A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR102099330B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10640869B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2020017757A (ja) 基板処理装置、反応容器および半導体装置の製造方法
JP2018078323A (ja) 基板処理装置
US11898247B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JPWO2019038974A1 (ja) 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
TW202243157A (zh) 反應管、處理裝置及半導體裝置之製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14845616

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 15513027

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20177007859

Country of ref document: KR

Kind code of ref document: A

Ref document number: 2015538001

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14845616

Country of ref document: EP

Kind code of ref document: A1