CN108074845B - 基板处理装置、反应管以及半导体装置的制造方法 - Google Patents

基板处理装置、反应管以及半导体装置的制造方法 Download PDF

Info

Publication number
CN108074845B
CN108074845B CN201711146022.9A CN201711146022A CN108074845B CN 108074845 B CN108074845 B CN 108074845B CN 201711146022 A CN201711146022 A CN 201711146022A CN 108074845 B CN108074845 B CN 108074845B
Authority
CN
China
Prior art keywords
gas
reaction tube
substrate
buffer
gas discharge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711146022.9A
Other languages
English (en)
Other versions
CN108074845A (zh
Inventor
丸林哲也
村田慧
高木康佑
平野敦士
山田清明
森川晴夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2017201009A external-priority patent/JP6823575B2/ja
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN108074845A publication Critical patent/CN108074845A/zh
Application granted granted Critical
Publication of CN108074845B publication Critical patent/CN108074845B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及基板处理装置、反应管以及半导体装置的制造方法,尤其提供增大晶圆面内的气体流速的结构。提供具备反应管的结构,该反应管构成对基板进行处理的处理室,且具有:气体导入部,其设置在反应管下部,并导入处理气体;缓冲部,其构成反应管侧面的一端,使处理气体暂时滞留并且设置有向处理室供给处理气体的开口部;连结部,其设置在气体导入部与缓冲部之间,并从气体导入部连通至缓冲部;以及气体排放部,其构成反应管侧面的另一端的下端部,并从处理室排出处理气体,反应管构成为从开口部向处理室导入并经由处理室而从气体排放部排出处理气体,其中开口部设置在从缓冲部的上端部到与气体排放部对置的位置。

Description

基板处理装置、反应管以及半导体装置的制造方法
技术领域
本发明涉及基板处理装置、反应管以及半导体装置的制造方法。
背景技术
以往,在对基板实施氧化、扩散等的处理(尤其是PYRO、DRY氧化、退火等的处理)的基板处理装置中,例如,如专利文献1以及专利文献2所记载地构成为,从设置在反应管下部的气体导入端口导入气体,通过反应管的顶部向反应室内供给气体。向反应室内流入的气体从基板(以下也称为晶圆)处理区域的上侧通过下侧,并从设置于反应管下部的排气端口向反应室外排出。另外,在这种基板处理装置中,仅通过工厂设备的排气能力对反应室内以预定的压力进行控制,进行基板的处理。在专利文献1中记载了如下结构,在顶部设置有暂时滞留气体来调整压力的空间,在空间的下面内壁具有多个喷出气体的开口,气体从该开口将均匀地向反应室内流出。
这样,从与反应管一体化的喷嘴的下部向上部流通气体的期间,气体的温度上升,高温气体从顶部向反应室内供给,由此缩小与构成反应室内的配件(SiC配件、石英配件、晶圆)的温度差,实现颗粒产生的降低。
现有技术文献
专利文献
专利文献1:日本特开平11-067750号公报
专利文献2:日本特开平07-176498号公报
发明内容
发明所要解决的课题
然而,存在如下问题,从舟皿的上端到下端为止的晶圆面内的气体流速小,由此来自晶圆的放出气体(来自前处理中形成于晶圆的膜的因热处理所产生的释放气体)所引起的晶圆面内的颗粒增加。
本发明的目的在于提供增大晶圆面内的气体流速的结构。
用于解决课题的方案
根据本发明的一个方案,提供一种具备反应管的结构,该反应管构成对基板进行处理的处理室,且具有:气体导入部,其设置在反应管下部,并导入处理气体;缓冲部,其构成反应管侧面的一端,使处理气体暂时滞留并且设置有向处理室供给处理气体的开口部;连结部,其设置在气体导入部与缓冲部之间,并从气体导入部连通至缓冲部;以及气体排放部,其构成反应管侧面的另一端的下端部,并从处理室排出处理气体,反应管构成为从开口部向处理室导入并经由处理室而从气体排放部排出处理气体,其中开口部设置在从缓冲部的上端部到与气体排放部对置的位置。
本发明具有如下的效果。
能够增大晶圆面内的气体流速,能够抑制因来自晶圆的放出气体而引起的颗粒。
附图说明
图1是表示本发明的一实施方式的基板处理装置的概要的俯视图。
图2是表示本发明的一实施方式的基板处理装置的控制部的方框图。
图3是表示本发明的第一实施方式的基板处理装置的处理炉的竖剖视图。
图4是表示本发明的第一实施方式的基板处理装置的反应管内的构造的概要结构图。
图5是表示本发明的第一实施方式的基板处理装置的处理室的俯视剖视图。
图6是表示本发明的第一实施方式的基板处理装置的反应管与排气系统的连接部分的竖剖视图。
图7是表示本发明的第二实施方式的基板处理装置的处理室的俯视剖视图。
图8是表示本发明的第二实施方式的基板处理装置的反应管内的构造的概要结构图。
图9是表示本发明的实施方式的基板处理装置的排气系统的结构的图。
图10是用于说明本发明的实施方式的设置于基板处理装置的反应管的缓冲部的图。
图11是表示本发明的实施方式的基板处理装置的反应管下部的剖视图。
图12是表示晶圆面间的气体流速的比较的图。
图13是表示晶圆面间的气体浓度的比较的图。
图14是表示晶圆面内的气体浓度的比较的图。
图中:
100—处理装置(基板处理装置),200—晶圆(基板),202—处理炉,204—反应管(内管),217—舟皿(保持工具)。
具体实施方式
本发明的基板处理装置构成为对半导体晶圆进行处理,构成为对半导体晶圆实施氧化膜形成、扩散以及CVD这样的处理。
在本发明中,作为基板的半导体晶圆(以下称为晶圆)200由硅等的半导体制作,并且使用FOUP(Front Opening Unified Pod:前端开启式晶圆盒)110作为收纳并搬送晶圆200的载体(容纳器)。
如图1所示,本发明的基板处理装置(以下也称为处理装置)100具备框体111。在框体111的正面壁111a的正面前方部以能够维修保养的方式设置有开口空间,并分别配设有对该开口空间进行开闭的正面维修保养门104a、104b。
在框体111的正面壁111a,以连通框体111的内外的方式开设有用于将FOUP(以下称为晶圆盒)110搬入搬出的晶圆盒搬入搬出口112,通过前挡板113对晶圆盒搬入搬出口112进行开闭。
在晶圆盒搬入搬出口112的正面前方侧设置有加载端口114,加载端口114构成为在载置晶圆盒110的状态下进行对准。晶圆盒110被工序内搬送装置(未图示)搬入至加载端口114上,而且还从加载端口114上被搬出。
在框体111内的前后方向的大致中央部的上部,设置有能够旋转的晶圆盒保管用的容纳架105,容纳架105构成为保管多个晶圆盒110。即,容纳架105垂直地竖立设置,具备支柱116和支柱116上n(n为1以上)段的架板117,多张架板117构成为以在多处分别载置的状态来保持晶圆盒110。
在框体111内的加载端口114与容纳架105之间,设置有作为第一搬送装置的晶圆盒搬送装置118。晶圆盒搬送装置118由能够保持晶圆盒110地进行升降的晶圆盒升降器118a和晶圆盒搬送机构118b构成。晶圆盒搬送装置118构成为,通过晶圆盒升降器118a和晶圆盒搬送机构118b的连续动作,在加载端口114、容纳架105、晶圆盒开启装置121之间,搬送晶圆盒110。
处理装置100具备实施氧化膜形成等处理的半导体制造装置。构成半导体制造装置的框体的副框体119在框体111内的前后方向的大致中央部的下部遍及后端地构筑。
在副框体119的正面壁119a开设有用于将晶圆200相对于副框体119内搬入搬出的晶圆搬入搬出口(基板搬入搬出口)120,其设置为一对且在垂直方向上上下二段并列,在上下段的晶圆搬入搬出口120分别设置有一对晶圆盒开启装置121。
晶圆盒开启装置121具备载置晶圆盒110的载置台122和对晶圆盒110的盖进行拆装的盖拆装机构123。晶圆盒开启装置121构成为,通过盖拆装机构123来对载置于载置台122的晶圆盒110的盖进行拆装,从而对晶圆盒110的晶圆出入口进行开闭。
副框体119构成从晶圆盒搬送装置118、容纳架105的设置空间隔绝流体的移载室124。在移载室124的前侧区域设置晶圆移载机构(基板移载机构)125。基板移载机构125由晶圆移载装置(基板移载装置)125a和晶圆移载装置升降器(基板移载装置升降机构)125b构成。基板移载装置125a利用镊子125c来保持晶圆200,使晶圆200在水平方向旋转乃至直线运动。基板移载装置升降机构125b使基板移载装置125a升降。基板移载机构125通过基板移载装置升降机构125b以及基板移载装置125a的连续动作,相对于舟皿(基板保持工具)217进行晶圆200的装填(装料)以及卸载(取料)。
在移载室124设置有作为后述的升降机构的舟皿升降器(未图示)。升降机构构成为使舟皿217升降。在作为连结于升降机构的连结工具的臂水平地安装有作为盖体的密封盖219,盖体219构成为垂直地支撑舟皿217并能够将处理炉202的下端部封闭。舟皿217构成为,具备多根作为支撑部的保持部件,并使多张(例如50张~125张左右)晶圆200在以其中心对齐地沿垂直方向排列的状态下,分别水平地被支撑部保持。
此外,保持部件的材质可以是石英(SiO2)或是SiC(碳化硅或硅碳)、Si(硅)。另外,材质根据加工处理温度而分开使用。例如,若加工处理温度在950℃以下,则使用石英材料,若加工处理温度为高温处理950℃以上,则使用SiC材料、Si材料等。另外,支撑部的爪的形状有短的、长的、与晶圆200的接触面积小的等许多种类,根据加工条件而不同。
接下来,对处理装置100的动作进行说明。
如图1所示,当晶圆盒110被供给至加载端口114,晶圆盒搬入搬出口112通过前挡板113而开放,加载端口114上的晶圆盒110被晶圆盒搬送装置118从晶圆盒搬入搬出口112向框体111的内部搬入。
被搬入的晶圆盒110被晶圆盒搬送装置118自动地向容纳架105的被指定的架板117搬送并转移,在被暂时保管后,从架板117被搬送至一方的晶圆盒开启装置121并移载至载置台122,或是直接搬送至晶圆盒开启装置121并移载至载置台122。此时,晶圆盒开启装置121的晶圆搬入搬出口120被盖拆装机构123关闭,在移载室124流通并充满清洁空气。
就载置于载置台122的晶圆盒110而言,其开口侧端面被按压于副框体119的正面壁119a上的晶圆搬入搬出口120的开口边缘部,并且其盖被盖拆装机构123拆下,晶圆盒110的晶圆出入口被开放。晶圆200被基板移载装置125a的镊子125c经由晶圆出入口从晶圆盒110拿起,并由切槽对准装置(未图示)将晶圆对准后,向舟皿217移载并装填(晶圆装料)。向舟皿217转移了晶圆200的基板移载装置125a返回晶圆盒110,将下一个晶圆200向舟皿217装填。
在该一方(上段或下段)的晶圆盒开启装置121中的由基板移载机构125所进行的晶圆200的向舟皿217的装填作业中,其他的晶圆盒110被晶圆盒搬送装置118从容纳架105乃至加载端口114被搬送至另一方(下段或上段)的晶圆盒开启装置121,同时进行晶圆盒开启装置121所进行的晶圆盒110的开放作业。
当预先指定的张数的晶圆200被装填至舟皿217,处理炉202的下端部利用炉口闸阀147而开放。接下来,盖体219利用升降机构115的升降台而上升,被盖体219支撑的舟皿217向处理炉202内搬入(装载)。
装载后,由处理炉202对晶圆200实施处理。处理后,通过未图示的升降机构将舟皿217取出。之后,除在未图示的切槽对准装置的晶圆200的对准工序以外,以与前述相反的顺序,晶圆200以及晶圆盒110向框体111的外部被拉出。
接下来,参照图2对作为控制部的控制器240的结构进行说明。控制部240构成为具备作为处理部的CPU(中央处理装置)224、作为暂时存储部的存储器(RAM、ROM等)226、作为存储部的硬盘驱动器(HDD)222、以及作为通信部的发送接收模块228的计算机。另外,控制部240除了至少包含上述的CPU224以及存储器226等的指令部220、通信部228、存储部222之外,还可以在结构上含有作为包含液晶屏幕等的显示装置以及键盘、鼠标等的指点装置的操作部的用户界面(UI)装置248。在硬盘222收纳有定义了处理条件以及处理顺序的方针等的各方针文件、用于执行该各方针文件的控制程序文件、用于设定处理条件以及处理顺序的参数文件之外,收纳有包含输入加工参数的输入画面的各种画面文件等(均未图示)。
此外,在控制部240的通信部228连接有交换式集线器等。控制部240构成为通过通信部228而经由网络与外部的计算机等进行数据的发送以及接收。
另外,控制部240通过通信部228而经由通信线路与设置在框体111内的传感器等、气体流量控制部235、压力控制部236、驱动控制部237、以及温度控制部238电连接。
此外,本发明的实施方式的控制部240不依赖专用的系统,能够实现使用通常的计算机系统。例如,从收纳有用于执行上述的处理的程序的记录媒体(软盘、CD-ROM、USB等)向通用计算机安装该程序,就能够构成执行预定的处理的各控制器。
而且,用于供给这些程序的方案任意。在能够如上所述地经由预定的记录媒体供给之外,例如也可以经由通信线路、通信网络、通信系统等来供给。在这种情况下,例如,也可以在通信网络的公告板公布该程序、经由网络而叠加在载波上来提供。然后,启动这样地提供的程序,在OS的控制下,与其他的应用程序同样地执行,就能够执行预定的处理。
(第一实施方式)
主要使用图3~图6、图9以及图10来说明第一实施方式。
如图3所示,处理炉202具有加热器(加热机构)206。加热器206为圆筒形状,通过被保持板(加热器底板)251支撑而垂直地安装。
在加热器206的内侧与加热器206同心圆地配设有均热管(外管)205。均热管205使用SiC等耐热性材料,形成为上端封闭而下端开口的圆筒形状。在均热管205的内侧与均热管205同心圆地配设有反应管(内管)204。反应管204使用石英等的耐热性材料,形成为上端封闭而下端开口的圆筒形状。反应管204的筒中空部形成处理室201,处理室201构成为能够收纳将晶圆200保持为以水平姿势在垂直方向上多段排列的状态的舟皿217。
从气体导入部233经由反应管204的顶点部(顶部的上侧)直到作为缓冲箱的缓冲(第一缓冲)部232为止,沿着反应管204的外壁面配设有作为气体供给管(连结部)的细管230。另外,在设置有缓冲部232的反应管204的对称面侧的下部,设置有作为将反应管204内的环境气体从排气口231a排出的排气端口的气体排放部231。
在气体导入部233的上游侧,经由图3所示的作为气体流量控制部的MFC(质量流量控制器)235而连接有未图示的处理气体供给源、载气供给源、惰性气体供给源。MFC235构成为以在期望的时间点使供给至处理室201的气体的流量成为期望的量的方式进行控制。本实施方式的气体供给系统至少由未图示的处理气体供给源、载气供给源、惰性气体供给源以及MFC235构成。
另外,未图示的定序器构成为通过使未图示的阀开闭来控制气体的供给、停止。而且,控制部240构成为控制这些MFC235、定序器以使供给至处理室201的气体的流量在期望的时间点成为期望的流量。
图4表示第一实施方式的反应管204的详细图。其中,为使反应管204的结构容易理解,使反应管204为透明。反应管204构成处理室201,并在下部分别设置有作为供气体导入的气体导入端口的气体导入部233和气体排放部231。缓冲部232在与气体导入部233和气体排放部231的大致对称侧(相反侧)的反应管204的侧面上,从反应管204的顶部附近设置到下部。缓冲部232构成反应管204侧面的一部分,暂时使来自细管230的气体滞留。在气体导入部233连接有细管230。缓冲部232与气体导入部233经由细管230而连通,并与细管230在反应管204顶部连接。
另外,缓冲部232在与处理室201的边界设置有作为供气体喷出的开口部的多个气体孔232a。这些气体孔232a从缓冲部232的上端部设置到下端部,构成为通过这些气体孔232a来向处理室201供给气体。在气体孔232a相反侧的反应管204下部,设置气体排放部231,构成为从处理室201排出气体。因为是这样的结构,所以能够形成相对于晶圆200的表面平行的气流(侧流)。在此,顶部是比缓冲部232靠上侧的反应管204部分。
另外,如图4所示,气体导入部233、具有气体孔232a的缓冲部232、将气体导入部233和缓冲部232连结的细管230、以及气体排放部231分别一体地设置于反应管204。尤其是细管230,从反应管204下部开始经由反应管204的顶点部附近沿着反应管204外壁面而设置到与缓冲部232连接的顶部。例如,气体导入部233以及细管230以沿着反应管204外壁面的方式进行焊接加工。此外,细管230也可以由多个管构成,另外,也可以不与反应管204外壁面相接。
根据该反应管204的结构,从与反应管204下部一体化地设置的气体导入部233经由顶部而向缓冲部232流通气体,在缓冲部232暂时滞留气体的期间,气体被加热器206连续地加热。然后,被加热器206加热至高温的气体从缓冲部232被供给至处理室201。因此,所供给的气体的温度与构成处理室201的配件(SiC配件、石英配件、晶圆200)的温度差变小,能够减少该温度差所引起的颗粒。在此,细管230的形状也并不限定于本实施方式,例如,也可以是螺旋状、蜷曲的形状。
缓冲部232设置在反应管204的侧面,构成为暂时地使气体滞留并调整压力,从而从各个气体孔232a均匀地供给气体。另外,为使缓冲部232上下间气体均匀流动,根据气体流量、炉内压力、温度等条件进行解析,进行缓冲部232的容积、气体孔232a的最优化。
如图5所示,缓冲部232构成反应管204侧面的一部分(一端),在反应管204侧面的另一部分(另一端)侧设置有气体排放管231。缓冲部232的配置以及气体孔232a的开口方向以朝向晶圆200的中心流通气体的方式设置在与反应管204下部的气体排放部231以晶圆200的中心为轴而对称的位置。另外,设置于缓冲部232的气体孔232a以与舟皿217的间距一致从而在晶圆200间喷出气体的方式设置。另外,缓冲部232(气体孔232a)与气体排放部231也可以在图5这样的俯视情况下配设在成为直线状的位置。此外,气体孔232a的孔径为
Figure BDA0001472478310000091
左右。另外,反应管204既可以构成为设置有气体孔232a的面与反应管204的壁面一致,也可以构成为缓冲部232的外壁面与反应管204的壁面一致。
再有,使用图10来以缓冲部232以及气体孔232a为主进行说明。图10表示向反应管204内装入舟皿217时的处理炉202。此外,晶圆200仅表示了用于说明的一部分,箭头表示处理气体的流动。另外,气体孔232a为210个,其孔径为
Figure BDA0001472478310000092
就舟皿217的基板处理区域(基板保持区域)而言,支撑晶圆200的支撑部的间隔(间距)为6.3mm,气体孔232a的间隔也与该间距一致而为6.3mm。再有,气体孔232a设置在比基板处理区域的上端靠上侧,从而能够供给气体。另一方面,气体孔232a设置在比基板处理区域的下端靠下侧。这样,在图10所示的合流区域(本实施例中,从基板处理区域的上端以及下端起间距的长度×2个孔的宽度),能够以相同间距(6.3mm)供给气体。
能够在比基板处理区域(基板保持区域)的上端靠上侧的合流区域从气体孔232a供给气体,所以能够极大减少在比舟皿217靠上侧的空间的气体滞留,能够抑制基板处理区域上端的颗粒。
就舟皿217的隔热区域(隔热板保持区域)而言,隔热板间的间距为14mm,气体孔232a的间隔也与该间距一致为14mm。而且,气体孔232a的最下端设置在与气体排放部231对置的位置。在气体排放部231的更下方,在此有未图示的保持体257,由于使缓冲部232向更下方延伸在制作上比较困难,所以选到气体排放部231的位置为止。
这样,舟皿217下端(与气体排放部231对置的位置)也设置有气体孔232a而能够供给气体,所以能够消除舟皿217下端的气体滞留。尤其是在隔热区域也从气体孔232a向处理室201供给气体,所以能够形成相对于隔热板的表面平行的气体的流动。这样,能够形成与基板处理区域一样的气流,所以能够抑制基板处理区域下端的气体滞留所引起的颗粒。
另外,如图11所示在缓冲部232的下部设置有开口孔332。通过在缓冲部232的下部设置开口孔332以在清洗反应管204时缓冲部232内的清洗水容易排出,由此提高维修保养效率。此外,孔径以
Figure BDA0001472478310000101
设置在两处。
接下来,使用图6对气体排放部231的内部进行说明。如图6所示,在第一实施方式的气体排放部231的内部,设置有作为插件的保护管。保护管也与反应管204一样是石英部件。该保护管在内部实施锥面加工,从排气口231a朝向气体排放部231的下游侧流路截面积变小,并使保护管的中心部分(气体排放部231内的中心部分)的流路截面积缩小。另外,在内部实施锥面加工,构成为随着向气体排放部231的排气侧(下游侧)而流路截面积变大。例如,保护管的最大的直径为
Figure BDA0001472478310000102
大致与排气口231a的直径相同。保护管的最小的直径为
Figure BDA0001472478310000103
通过设置该保护管,为了抑制来自排气配管229侧的(因压力变动等而引起的)逆扩散所产生的颗粒,处理室201一方能够设置比排气侧的压力更大的压力差。另外,在气体排放部231附着有生成物的情况下,不用拆下反应管204,仅更换保护管就能够应对,因此维修保养性提高。再有,即使在气体排放部231附着堆积生成物、因膜应力而在石英表面产生微小裂痕,构成反应管204的一部分的气体排放部231也被保护管覆盖,所以作为结果,能够延长反应管204的寿命周期。
尤其是,氧化·扩散装置、退火装置以高温进行基板处理、另外也存在使用氯系(HCL、DCE等)气体的情况,因此处理炉202内部(反应管204、保持体257等)被石英配件覆盖。由此,能够防止基板处理带来的金属污染。
在反应管204的下端部,设置有作为能够将反应管204的下端开口气密地封闭的底座凸缘的保持体257和盖体219。盖体219例如由不锈钢等金属构成,并形成为圆盘状。保持体257例如由石英构成,形成为圆盘状,并安装在盖体219上。在保持体257的上表面设置有与反应管204的下端抵接的作为密封部件的O形环220。
在与盖体219的处理室201相反侧设置有使舟皿217旋转的旋转机构254。旋转机构254的旋转轴255贯通盖体219以及保持体257,并连接于隔热筒218和舟皿217,构成为通过使隔热筒218以及舟皿217旋转来使晶圆200旋转。
盖体219构成为通过垂直地设置于反应管204的外部的升降机构115而在垂直方向上升降,由此能够将舟皿217相对于处理室201搬入搬出。在旋转机构254以及升降机构115电连接有驱动控制部237,构成为在期望的时间点进行控制以进行期望的动作。
舟皿217例如由石英、碳化硅等耐热性材料构成,将多张晶圆200以水平姿势且相互中心对齐的状态排列保持。在舟皿217的下方,以支撑舟皿217的方式设置有例如由石英、碳化硅等耐热性材料构成的、做成圆筒形状的、作为隔热部件的隔热筒218,构成为来自加热器206的热难以传递至反应管204的下端侧。
在均热管205与反应管204之间,设置有作为温度测量器的温度传感器263。在加热器206与温度传感器263电连接有温度控制部238,构成为基于由温度传感器263检测出的温度信息来调整向加热器206的通电情况从而在期望的时间点进行控制以使处理室201内的温度成为期望的温度分布。
如图3所示,在气体排放部231连接有气体排放管229。在气体排放管229的下游侧连接有至少包含APC阀的压力调整装置242。它们构成排气系统的一部分。另外,压力控制部236与压力调整装置242电连接,控制排气系统以使处理室201内的压力成为预定的压力。
图9表示本实施方式的排气系统的详细图。如图9所示,排气系统是至少包含排气配管229、压力调整装置242、以及作为吸引该压力调整装置242内的气体的排气装置的排出器246的结构。压力控制部236基于由未图示的压力传感器所检测的压力值来控制APC阀的开度以及排气装置246的动作,以使处理室201的压力与所述排气系统的压力之差保持为预定的压力差。
在排气配管229的下游侧设置有作为冷却部的气体冷却器GC,构成为对从处理室201排出的气体进行冷却。通过冷却而液化的气体经由空气阀AV56而滞留于水箱,并被排出。
在压力调整装置242的上游侧,作为处理室201内的过压传感器的过压防止用的压力开关PS设置于排气配管229。若通过压力开关PS得知排气配管229内的压力成为预定压力以上,则压力开关PS通知压力控制部236,压力控制部236使空气阀AV53打开。这样一来,也经由空气阀AV53向排气侧排出气体,所以处理室201内的压力被保持为目标压力。
压力调整装置242基于由未图示的压力传感器所检测的压力,来调整阀的开度。另外,即使气体在压力调整装置242内液化也会经由空气阀AV54而流落至水箱。另外,能够通过空气阀AV51将惰性气体(N2气体)作为稀释气体而供给至压力调整装置242,另外,也能起到APC阀的开度调整用的功能。
排气装置246是用于将处理室201的压力与排气系统的压力之差调整至预定的压力差而设置的装置。另外,能够选择打开空气阀AV51来供给稀释用的N2气体并且用作排气能力的调整用。
通过压力调整装置242与排气装置246的组合,能够排气到-10KPaG,解决排气用能力不足。另外,排气装置246通过使处理室201的压力降低,能够将来自晶圆200的放出气体在短时间内向处理室201外放出。排气装置246因为能够使与处理室201的压力差变大,所以能够抑制积存于排气配管229侧的颗粒向处理室201侧的逆扩散。
在温度控制部238连接有主要由加热器206以及温度传感器263构成的加热部。温度控制部238构成为通过控制加热器206的电力来调节处理炉202内(处理室201)的温度。另外,温度控制部238构成为进行晶闸管的开关(接通断开)控制,从而控制供给至加热器电线的电力。
另外,MFC235、压力控制部236、驱动控制部237、温度控制部238电连接于控制基板处理装置整体的控制部240。这些MFC235、压力控制部236、驱动控制部237、温度控制部238构成副控制部。此外,控制部240也可以是包含该副控制部的结构。
(第二实施方式)
主要使用图7以及图8对第二实施方式进行说明。
图7以及图8所示的第二实施方式与图4以及图5所示的第一实施方式的不同点在于,在气体排放部231设有作为缓冲箱的缓冲(第二缓冲)部234。因此,在此仅对与缓冲部234相关的部分进行说明,对于与第一实施方式相同的结构、说明重复的部分则省略记载。
首先,对图4和图7分别表示的第一实施方式和第二实施方式的反应管204进行比较,就第二实施方式的反应管204而言,气体供给侧的缓冲部232以及气体孔232a的配置与第一实施方式相同,在气体排放部231追加设置有缓冲部234,在处理室201与气体排放部231之间构成缓冲部234。在该缓冲部234上,在处理室201侧分别设置有多个气体排放口234a,在处理室201侧的相反侧的下部设置气体排放部231。其他的结构相同。以下,包含缓冲部234地对气体排放部231进行说明。
如图8所示,与缓冲部232构成反应管204侧面的一部分(一端)相对,缓冲部234构成反应管204侧面的另一部分(另一端),并设置为以晶圆200的中心为轴而对称。由此,缓冲部234使从处理室201排出的气体暂时滞留从而容易调整排气压力。
多个气体排放口234a也与气体孔232a同样地,从缓冲部234的上端部设置到下端部,缓冲部234以及气体排放口234a设置为高度位置分别与缓冲部232以及气体孔232a一致。由此,气体孔232a从第一缓冲部232的上端部设置到下端部,处理气体从气体孔232a被导入处理室201,气体排放口234a从第二缓冲部234的上端部设置到下端部,从气体排放口234a排出的处理气体从气体排放部231排出。
另外,就气体排放口234a而言,在与气体孔232a以晶圆200的中心为轴而对称的位置,与舟皿217的间距一致地设置。由此,将从气体孔232a喷出的气体在晶圆200间顺利地经由气体排放口234a而从处理室201排出。因为是这样的结构,所以能够形成相对于晶圆200的表面平行的气流。
另外,多个气体排放口234a也与气体孔232a一样,在与舟皿217的基板处理区域对置的位置和与隔热区域对置的位置以不同的间隔形成,所以从气体孔232a向隔热区域供给的气体也能够经由气体排放口234a而顺利地从处理室201排出。
此外,孔径为
Figure BDA0001472478310000131
左右。另外,反应管204既可以构成为设置有气体孔234a的面与反应管204的壁面一致,也可以构成为缓冲部234的外壁面与反应管204的壁面一致。
为使缓冲部232、234上下间气体均匀地流动,与第一实施方式一样,根据气体流量、炉内压力、温度等条件进行解析,将缓冲部232、234的容积、气体孔232a以及气体排放口234a的开口(孔径)最优化。
另外,将分别配置有图5和图8所示的第一实施方式和第二实施方式的反应管204的处理室201进行比较,缓冲部232配置以及气体孔232a的开口方向构成为气体朝向晶圆200中心流动。也就是说,如图8所示,气体孔232a与气体排放口234a直线状地配置。根据这种结构,能够提高相对于晶圆200的表面平行的气体的流速,从而顺利地将气体排出。
如图8所示,构成为从处理室201排出的气体一定会通过设置于缓冲部234的气体排放口234a。根据这样的结构,因为设置了缓冲部234,所以能够抑制来自排气配管229侧的逆扩散所带来的颗粒。再有,能够设置使处理室201一方比排气侧的压力更大的压力差。
此外,第二实施方式的配置有反应管204的处理室201与第一实施方式一样地构成图9所示的排气系统,与第一实施方式一样地构成图10所示的气体供给侧的缓冲部232。另外,在第二实施方式中也可以在排气部231内设置保护管。
接下来,对作为半导体装置的制造工序的一个工序,使用处理装置100的处理炉202对晶圆200实施氧化、扩散等处理(尤其是PYRO、DRY氧化、退火等处理)的方法进行说明。在以下的说明中,构成处理装置100的各部的动作由控制部240控制。在此,基于第一实施方式进行说明。
当舟皿217装填(晶圆装料)有多张晶圆200,保持多张晶圆200的舟皿217被舟皿升降器115拿起而搬入(舟皿装载)处理室201。在该状态下,盖体219成为经由保持体257、O形环220而将反应管204下端封闭的状态。
处理室201内的压力被图9所示的压力调整装置242以及排气装置246控制为预定的压力。另外,处理室201内被加热器206加热,以成为期望的温度。此时,基于温度传感器263所检测出的温度信息,对向加热器206的通电情况进行反馈控制,以使处理室201内成为期望的温度分布。接下来,通过旋转机构254,隔热筒218、舟皿217旋转,由此晶圆200旋转。
接下来,从未图示的处理气体供给源以及载气供给源供给、并由MFC235控制而成为期望的流量的气体被导入气体导入部233。被导入反应管204下部的气体导入部233的气体,在细管230内流通并经由反应管204顶部后被导入设置在侧面的缓冲部232,经由该缓冲部232而从多个气体孔232a被导入处理室201。
如图5所示,从多个气体孔232a喷出的气体在通过处理室201内时与晶圆200的表面相接触,对晶圆200进行氧化、扩散等处理。此时,通过旋转舟皿217,晶圆200也旋转,所以气体与晶圆200的表面无遗漏地接触。如图5所示,构成为缓冲部232设置在反应管204的侧面,缓冲部232与气体排放部231对置,如图10所示,气体孔232以成为晶圆200之间的方式设置气体孔232间的间距,所以供给至晶圆200间的气体以成为均等的方式被供给。
再有,通过图9所示的排气装置246的排气,构成为均等的流量的气体以预定的流速从多个气体孔232a分别供给至处理室201。由此,例如能够将热处理中的放出气体尽快地排放至排气系统。
此外,在使用水蒸气对晶圆200进行处理的情况下,被MFC235控制而成为期望的流量的气体供给至水蒸气产生装置,包含由水蒸气产生装置生成的水蒸气(H2O)的气体被导入处理室201。
在经过预先设定的处理时间后,从惰性气体供给源供给惰性气体,处理室201内被置换为惰性气体,并且处理室201内的压力恢复至常压。
之后,密封盖219利用舟皿升降器151而下降,反应管204的下端成为开口,并且处理后的晶圆200以被舟皿217保持的状态从反应管204的下端被搬出(舟皿卸载)至反应管204的外部。之后,处理后的晶圆200利用舟皿217而被取出(晶圆取料)。
(实验例)使用图12~图14,针对反应管204,对由以往的反应管、第一实施方式的反应管、第二实施方式的反应管进行实验并进行比较验证的结果进行说明。
图12是晶圆200中心部的模拟结果,横轴为流速(Velocity),纵轴为舟皿插槽编号(Boat Slot[#])。舟皿插槽编号是对设置于舟皿217的支撑部分别添加的编号,其任意地设定。如图12所示,在以往的反应管204中,流速为0.1~0.4mm/sec(0.0001~0.0004m/sec),第一实施方式的反应管204中,为11~24mm/sec(0.011~0.024m/sec),第二实施方式的反应管204中,为16~36mm/sec(0.016~0.036m/sec)。这样,根据本实施方式,与以往相比,晶圆面的流速大幅提高。若为放出气体对策,则判断为第二实施方式最为合适。
图13以及图14是对在舟皿217的基板处理区域以炉内温度600℃、炉内压力1013hPa、气体种类为NH3且充满后由N2(20slm)稀释并经过时间后的NH3浓度进行模拟的结果。图13中,纵轴为舟皿插槽编号,横轴为NH3浓度。图14中,纵轴为NH3浓度,横轴为距气体导入部的距离。在此,在模拟中监测NH3浓度的理由在于其与N2等其他气体相比是难以扩散的气体。
如图13所示,显示如下结果:对于基板处理区域,以往的反应管204中,残留NH3浓度为25%-75%,与此相对地,第一实施方式的反应管204中,残留NH3浓度为15%-30%,第二实施方式的反应管204中,残留NH3浓度为35%-50%。第一实施方式的反应管204判断为具有最合适的气体排出效果。
另外,如图14所示,对于晶圆面内(气体导入侧—气体排放侧)的气体浓度,显示如下结果:在以往的反应管204中,残留NH3气体浓度为40%-70%,第一实施方式的反应管204中,残留NH3浓度为30%-40%,第二实施方式的反应管204中,残留NH3浓度为40%-100%。供给侧(第一缓冲侧)残留NH3浓度较低,但在排气侧(第二缓冲侧)的气体排放口234a附近产生气体的沉积,排气侧的浓度变高。
根据以上,在晶圆200面内的流速、以及放出气体带来的颗粒降低的双方,从效果来讲优选第一实施方式的反应管204。
(其他的实施方式)
在图14所示的实验中,第二实施方式中排气侧(第二缓冲侧)的气体排放口234a附近的气体沉积的原因认为是,供给侧(第一缓冲侧)的气体孔232a与排气侧(第二缓冲侧)的气体排放口234a仅设置了在图8所示的俯视情况下的一组。因此,对在第二实施方式的第一缓冲以及第二缓冲添加改进的反应管204进行说明。
考虑使气体排放口234a的直径比气体孔232a的直径大,增大排气侧的截面积。由此,能够使排气效率提高,所以在气体排放口234a附近减少气体的沉积。
例如,将第二缓冲部在图8所示的俯视情况下以预定角度配置多个。由此,能够使排气侧的总截面积较大,从而能够使排气效率提高。因此,降低气体排放口234a附近气体的沉积。
再有,考虑晶圆200表面的气体的流速,将多个第一缓冲部以及多个第二缓冲部在图8所示的俯视情况下以60°以下的预定角度配置。由此,能够期待降低排气侧(第二缓冲部侧)的气体排放口234a附近的气体的沉积。
这样,根据本实施方式的PYRO、DRY氧化炉、退火炉,通过晶圆200面内的流速提高以及处理室201的压力的控制性提高,能够使氧化膜以及退火处理后的膜的膜厚均匀性提高。另外,根据上述的本实施方式,将缓冲部设置在反应管204的侧面,也可以不仅在反应管204的侧面部,还可以像以往一样还在反应管204的顶部(上侧)设置缓冲部。
根据本实施方式,起到以下的(a)~(g)中至少一个以上的效果。
(a)根据本实施方式,将在向处理室导入气体的一侧带有多个气体孔的缓冲箱与反应管的侧面一体化地保持,并至少在与舟皿整个区域对置的位置设置气体孔,通过从这些气体孔向处理室供给气体,能够在舟皿的整个区域形成与基板平行的方向的流动并且提高基板表面的气体的流速值,所以能够抑制来自基板的放出气体所引起的颗粒。
(b)根据本实施方式,将在向处理室导入气体的一侧带有多个气体孔的缓冲箱与反应管的侧面一体化地保持,从气体导入部到该缓冲箱为止气体的温度上升,能够以与构成处理室的部件的温度差变小的状态供给气体,所以能够使氧化膜以及退火处理后的膜的面内均匀性以及面间均匀性性提高。另外,能够降低与气体温度的温度差所引起的颗粒产生。
(c)根据本实施方式的侧流反应管,在侧面一体化地具有向处理室导入气体的一侧带有多个气体孔的缓冲箱,气体孔的开口方向设置为气体朝向晶圆中心流动,并以晶圆中心为轴而对称地设置有排气端口,能够使晶圆面内的气体的流动大幅地提高。尤其是因为缓冲箱(气体孔)与排气端口配置在直线上所以能够提高气体流速,从而能够抑制来自排气侧的逆扩散。
(d)根据本实施方式的侧流反应管,设定为在缓冲箱上下间气体均匀地流动,另外,设定为向晶圆间喷出气体。因此,能够使晶圆面内的气体的流动大幅地提高。尤其是因为供给侧缓冲箱(气体孔)与排气侧缓冲箱(气体排放口)配置在直线上且高度位置也一致,所以能够提高与晶圆表面平行的气流的流速并且使排气效率提高。
(e)根据本实施方式,反应管的气体孔不仅设置在与舟皿的基板处理区域对置的位置,还设置在与隔热区域对置的位置。由此,能够防止舟皿的上侧的空间、隔热区域的气体的滞留。因此,从气体孔向处理室供给的气体的流速能够保持期望的流速,能够抑制隔热区域的气体的滞留所带来的颗粒。
(f)根据本实施方式,通过压力调整装置和排气装置的组合,排气能力大幅提高,所以能够在短时间内将在晶圆上产生的放出气体向处理室外排出。因此,能够抑制放出气体所带来的颗粒。另外,能够将处理室减压,能够设置较大的压力差,所以能够抑制积存在排气配管侧的颗粒向反应室内逆扩散。
(g)根据本实施方式的侧流反应管,成为如下结构,将在从处理室排出气体的一侧带有多个气体排放口的缓冲箱(排气侧缓冲箱)与反应管的侧面一体化地保持,并在处理室与排气端口之间具有排气侧缓冲箱。因此,成为积存于排气配管侧的颗粒经由排气缓冲箱而从气体排放口导入处理室的结构,所以能够抑制来自排气侧的逆扩散。
此外,本发明并不限定于以上的实施方式,能够在不超出其主要内容的范围内进行多种改变。
在本发明的实施方式中,对处理晶圆的情况进行了说明,本发明也能够应用于对液晶面板的玻璃基板、磁盘、光盘等的基板进行处理的基板处理装置。

Claims (16)

1.一种基板处理装置,其特征在于,具备:
反应管,其构成对基板进行处理的处理室;以及
基板保持部,其具备支撑所述基板的支撑部,
所述反应管具有:
气体导入部,其设置在所述反应管下部,并导入处理气体;
缓冲部,其构成所述反应管侧面的一端,使所述处理气体暂时滞留并且设置有向所述处理室供给所述处理气体的开口部;
连结部,其设置在所述气体导入部与所述缓冲部之间,并从所述气体导入部连通至所述缓冲部;以及
气体排放部,其构成所述反应管侧面的另一端的下端部,并从所述处理室排出所述处理气体,
所述反应管构成为,从所述开口部向所述处理室导入并经由所述处理室而从所述气体排放部排出所述处理气体,其中所述开口部设置在从所述缓冲部的上端部到与所述气体排放部对置的位置,
所述开口部从比所述基板保持部的基板处理区域的上端靠上侧的区域设置到与所述气体排放部对置的位置,并且与所述基板保持部的基板处理区域面对的位置的所述开口部和与位于所述基板处理区域下方的隔热区域面对的位置的所述开口部以不同的间隔形成。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述气体排放部构成为在内部设置有保护管,
所述保护管内置有流路,该流路具有比所述气体排放部的排气管的直径小的直径。
3.根据权利要求1所述的基板处理装置,其特征在于,
所述连结部构成为沿着所述反应管外壁面而设置成从与所述气体导入部连接的所述反应管下部经由所述反应管顶点附近直到与所述缓冲部连接的所述反应管顶部。
4.根据权利要求1所述的基板处理装置,其特征在于,
所述连结部至少到与所述缓冲部连接为止由多个管构成。
5.根据权利要求1所述的基板处理装置,其特征在于,
所述开口部为多个气体供给孔,且以预定间隔从所述缓冲部的上端部形成到下端部。
6.根据权利要求1所述的基板处理装置,其特征在于,
所述开口部形成为以与所述支撑部的间隔相同的间隔配置在所述基板与所述基板之间。
7.根据权利要求1所述的基板处理装置,其特征在于,还具有:
排气系统,其具备通过阀开度来调整压力的压力调整装置和吸引该压力调整装置内的气体的排气装置;以及
控制部,其以保持所述处理室与所述排气系统之间的压力差的方式控制所述压力调整装置以及所述排气装置。
8.根据权利要求7所述的基板处理装置,其特征在于,
所述排气系统还具备所述处理室的过压防止用的传感器,
所述控制部构成为,若所述传感器检测到所述处理室的过压,则将从所述处理室排放的气体以不经由所述压力调整装置以及所述排气装置的方式排放。
9.根据权利要求1所述的基板处理装置,其特征在于,
还设置有第二缓冲部,该第二缓冲部构成所述反应管侧面的另一端,并与所述气体排放部连通,
所述第二缓冲部的设置在所述处理室侧的气体排放口与构成所述反应管的一端的所述缓冲部的所述开口部配置为以基板的中心为轴而对称。
10.根据权利要求9所述的基板处理装置,其特征在于,
所述气体排放口形成为以与所述支撑部的间隔相同的间隔配置在所述基板与所述基板之间。
11.一种基板处理装置,其特征在于,具备:
反应管,其构成对基板进行处理的处理室;以及
基板保持部,其具备支撑所述基板的支撑部,
所述反应管具有:
气体导入部,其设置在所述反应管下部,并导入处理气体;
缓冲部,其构成所述反应管侧面的一端,使所述处理气体暂时滞留并且设置有向所述处理室供给所述处理气体的开口部;
连结部,其设置在所述气体导入部与所述缓冲部之间,并从所述气体导入部连通至所述缓冲部;
气体排放部,其构成所述反应管侧面的另一端的下端部,并从所述处理室排出所述处理气体;以及
第二缓冲部,其构成所述反应管侧面的另一端,并与所述气体排放部连通,且具有设置在所述处理室侧的气体排放口,
所述反应管构成为,从所述开口部向所述处理室导入并经由所述处理室而从所述气体排放部排出所述处理气体,其中所述开口部设置在从所述缓冲部的上端部到与所述气体排放部对置的位置,
与所述基板保持部的基板处理区域对置的位置的所述气体排放口和与位于所述基板处理区域下方的隔热区域对置的位置的所述气体排放口以不同的间隔形成。
12.一种反应管,其构成对基板进行处理的处理室,
所述反应管的特征在于,具有:
气体导入部,其设置在所述反应管下部,并导入处理气体;
缓冲部,其构成所述反应管侧面的一端,使所述处理气体暂时滞留并且设置有向所述处理室供给所述处理气体的开口部;
连结部,其设置在所述气体导入部与所述缓冲部之间,并从所述气体导入部连通至所述缓冲部;以及
气体排放部,其构成所述反应管侧面的另一端的下端部,并从所述处理室排出所述处理气体,
所述反应管构成为,从所述开口部向所述处理室导入并经由所述处理室而从所述气体排放部排出所述处理气体,其中所述开口部设置在从所述缓冲部的上端部到与所述气体排放部对置的位置,
所述开口部从比保持所述基板的基板处理区域的上端靠上侧的区域设置到与所述气体排放部对置的位置,并且与保持所述基板的基板处理区域面对的位置的所述开口部和与位于所述基板处理区域下方的隔热区域面对的位置的所述开口部以不同的间隔形成。
13.一种反应管,其构成对基板进行处理的处理室,
所述反应管的特征在于,具有:
气体导入部,其设置在所述反应管下部,并导入处理气体;
第一缓冲部,其构成所述反应管侧面的一端,使所述处理气体暂时滞留并且设置有向所述处理室供给所述处理气体的开口部;
连结部,其设置在所述气体导入部与所述第一缓冲部之间,并从所述气体导入部连通至所述第一缓冲部;以及
气体排放部,其设置在所述反应管侧面的下端部,并经由第二缓冲部而从所述处理室排出包含所述处理气体的气体,该第二缓冲部构成所述反应管侧面的另一端,并使所述处理气体暂时滞留并且具有从所述处理室排放所述处理气体的气体排放口,
与保持所述基板的基板处理区域对置的位置的所述气体排放口和与位于所述基板处理区域下方的隔热区域对置的位置的所述气体排放口以不同的间隔形成。
14.根据权利要求13所述的反应管,其特征在于,
构成为所述开口部从所述第一缓冲部的上端部设置到所述第一缓冲部的下端部,从所述开口部向所述处理室导入所述处理气体,并且所述气体排放口从所述第二缓冲部的上端部设置到所述第二缓冲部的下端部,从所述气体排放部排出从所述气体排放口排放的所述处理气体。
15.一种半导体装置的制造方法,其特征在于,具有:
向构成处理室的反应管内搬入基板的工序,其中,该反应管具有:气体导入部,其导入处理气体;缓冲部,其使所述处理气体暂时滞留并且设置有向所述处理室供给所述处理气体的开口部;连结部,其设置在所述气体导入部与所述缓冲部之间,并从所述气体导入部连通至所述缓冲部;以及气体排放部,其设置在所述反应管侧面的下端部,并从所述处理室排出包含所述处理气体的气体,而且构成为从所述开口部向所述处理室导入并经由所述处理室而从所述气体排放部排出,其中所述开口部从所述缓冲部的上端部设置到与所述气体排放部对置的位置,所述开口部从比保持所述基板的基板处理区域的上端靠上侧的区域设置到与所述气体排放部对置的位置,并且与保持所述基板的基板处理区域面对的位置的所述开口部和与位于所述基板处理区域下方的隔热区域面对的位置的所述开口部以不同的间隔形成;
向搬入所述反应管内的所述基板供给所述处理气体来处理所述基板的工序;以及
从所述反应管内搬出所述基板的工序。
16.一种半导体装置的制造方法,其特征在于,具有:
向构成处理室的反应管内搬入基板的工序,其中,该反应管具有:气体导入部,其设置在所述反应管下部,并导入处理气体;第一缓冲部,其构成所述反应管侧面的一端,使所述处理气体暂时滞留并且设置有向所述处理室供给所述处理气体的开口部;连结部,其设置在所述气体导入部与所述第一缓冲部之间,并从所述气体导入部连通至所述第一缓冲部;以及气体排放部,其设置在所述反应管侧面的下端部,并经由第二缓冲部而从所述处理室排出包含所述处理气体的气体,该第二缓冲部构成所述反应管侧面的另一端,并使所述处理气体暂时滞留并且具有从所述处理室排放所述处理气体的气体排放口,与保持所述基板的基板处理区域对置的位置的所述气体排放口和与位于所述基板处理区域下方的隔热区域对置的位置的所述气体排放口以不同的间隔形成;
向搬入所述反应管内的所述基板供给所述处理气体来处理所述基板的工序;以及
从所述反应管内搬出所述基板的工序。
CN201711146022.9A 2016-11-18 2017-11-17 基板处理装置、反应管以及半导体装置的制造方法 Active CN108074845B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016224973 2016-11-18
JP2016-224973 2016-11-18
JP2017-201009 2017-10-17
JP2017201009A JP6823575B2 (ja) 2016-11-18 2017-10-17 基板処理装置、反応管及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
CN108074845A CN108074845A (zh) 2018-05-25
CN108074845B true CN108074845B (zh) 2021-12-03

Family

ID=62144348

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711146022.9A Active CN108074845B (zh) 2016-11-18 2017-11-17 基板处理装置、反应管以及半导体装置的制造方法

Country Status (3)

Country Link
US (1) US11359283B2 (zh)
KR (1) KR102147174B1 (zh)
CN (1) CN108074845B (zh)

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111902919A (zh) * 2018-03-26 2020-11-06 株式会社国际电气 基板处理装置、反应管形状测定方法以及半导体装置的制造方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0410617A (ja) * 1990-04-27 1992-01-14 Fujitsu Ltd 半導体製造装置
CN101681870A (zh) * 2007-03-12 2010-03-24 东京毅力科创株式会社 用于提高衬底内处理均匀性的动态温度背部气体控制
JP2011066106A (ja) * 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
CN102024688A (zh) * 2009-09-11 2011-04-20 株式会社日立国际电气 半导体装置的制造方法以及衬底处理装置
CN102653883A (zh) * 2011-02-28 2012-09-05 株式会社日立国际电气 衬底处理装置及衬底的制造方法
WO2015041376A1 (ja) * 2014-09-30 2015-03-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および反応管
KR20150072702A (ko) * 2013-12-20 2015-06-30 투인텍주식회사 진공펌프용 이젝터
CN104835758A (zh) * 2014-02-06 2015-08-12 株式会社日立国际电气 基板处理装置、加热装置、顶壁隔热体及半导体器件的制造方法
CN105914163A (zh) * 2015-02-25 2016-08-31 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及加热部
CN105990197A (zh) * 2015-03-19 2016-10-05 东京毅力科创株式会社 基板处理装置
WO2016171452A1 (ko) * 2015-04-21 2016-10-27 주식회사 유진테크 기판처리장치 및 챔버 세정방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01316461A (ja) 1988-06-16 1989-12-21 Fujitsu Ltd 減庄cvd装置
JP2839621B2 (ja) 1990-02-13 1998-12-16 株式会社東芝 半導体製造用熱拡散装置
JPH06188238A (ja) * 1992-12-02 1994-07-08 Toshiba Corp 熱処理装置と熱処理方法
JPH07176498A (ja) 1993-12-21 1995-07-14 Kokusai Electric Co Ltd 反応ガスの予熱装置を備えた反応炉
JPH09260300A (ja) 1996-03-21 1997-10-03 Kokusai Electric Co Ltd 半導体製造装置
JPH1167750A (ja) 1997-08-22 1999-03-09 Kokusai Electric Co Ltd 外部燃焼装置、外部燃焼方法、外部燃焼装置を備える処理装置および外部燃焼装置を用いた処理方法
JP4050483B2 (ja) * 2001-05-14 2008-02-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4961381B2 (ja) 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2011035191A (ja) 2009-08-03 2011-02-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069723A (ja) 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
KR20140136433A (ko) * 2012-03-07 2014-11-28 엘지전자 주식회사 상호공존 네트워크 내에서 마스터 cm 선출 방법
JP6245643B2 (ja) * 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0410617A (ja) * 1990-04-27 1992-01-14 Fujitsu Ltd 半導体製造装置
CN101681870A (zh) * 2007-03-12 2010-03-24 东京毅力科创株式会社 用于提高衬底内处理均匀性的动态温度背部气体控制
CN102024688A (zh) * 2009-09-11 2011-04-20 株式会社日立国际电气 半导体装置的制造方法以及衬底处理装置
JP2011066106A (ja) * 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
CN102653883A (zh) * 2011-02-28 2012-09-05 株式会社日立国际电气 衬底处理装置及衬底的制造方法
KR20150072702A (ko) * 2013-12-20 2015-06-30 투인텍주식회사 진공펌프용 이젝터
CN104835758A (zh) * 2014-02-06 2015-08-12 株式会社日立国际电气 基板处理装置、加热装置、顶壁隔热体及半导体器件的制造方法
WO2015041376A1 (ja) * 2014-09-30 2015-03-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および反応管
CN105914163A (zh) * 2015-02-25 2016-08-31 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及加热部
CN105990197A (zh) * 2015-03-19 2016-10-05 东京毅力科创株式会社 基板处理装置
WO2016171452A1 (ko) * 2015-04-21 2016-10-27 주식회사 유진테크 기판처리장치 및 챔버 세정방법

Also Published As

Publication number Publication date
CN108074845A (zh) 2018-05-25
KR20180056388A (ko) 2018-05-28
US20180142353A1 (en) 2018-05-24
US11359283B2 (en) 2022-06-14
KR102147174B1 (ko) 2020-08-28

Similar Documents

Publication Publication Date Title
CN108074845B (zh) 基板处理装置、反应管以及半导体装置的制造方法
KR102035294B1 (ko) 기판 처리 장치
CN107871653B (zh) 基板处理装置以及半导体器件的制造方法
TWI575638B (zh) A substrate processing apparatus, a manufacturing method, a program, and a recording medium of a semiconductor device
KR101880516B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
WO2007018016A1 (ja) 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US20190189490A1 (en) Substrate processing apparatus and recording medium
KR20170077013A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR102377168B1 (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
KR102206194B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP6823575B2 (ja) 基板処理装置、反応管及び半導体装置の製造方法
TWI777146B (zh) 基板處理裝置、反應管及半導體裝置之製造方法
JP7018370B2 (ja) 基板処理装置及び半導体装置の製造方法及びプログラム
CN111712904B (zh) 处理装置、排气系统、半导体器件的制造方法
KR20210127738A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US20220310420A1 (en) Cooling method, a method of manufacturing a semiconductor device and a non-transitory computer-readable recording medium
TWI775142B (zh) 基板處理裝置,半導體裝置的製造方法及程式
US20200291516A1 (en) Substrate processing apparatus
JP2012216703A (ja) 基板処理装置
JP2008078218A (ja) 基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20181210

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

GR01 Patent grant
GR01 Patent grant