KR102035294B1 - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR102035294B1
KR102035294B1 KR1020180155656A KR20180155656A KR102035294B1 KR 102035294 B1 KR102035294 B1 KR 102035294B1 KR 1020180155656 A KR1020180155656 A KR 1020180155656A KR 20180155656 A KR20180155656 A KR 20180155656A KR 102035294 B1 KR102035294 B1 KR 102035294B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
chamber
gas supply
processing
Prior art date
Application number
KR1020180155656A
Other languages
English (en)
Other versions
KR20180133356A (ko
Inventor
타카시 야하타
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20180133356A publication Critical patent/KR20180133356A/ko
Application granted granted Critical
Publication of KR102035294B1 publication Critical patent/KR102035294B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Abstract

기판으로의 처리 품질을 향상시키는 기술을 제공한다.
기판을 처리하는 복수의 처리실; 상기 기판을 반송하는 진공 반송실; 상기 진공 반송실과 상기 처리실 사이에 설치되고, 상기 처리실에 각각 대응하는 복수의 이재실; 상기 진공 반송실과 상기 이재실 사이에 설치된 복수의 게이트 밸브; 상기 진공 반송실에 설치되고, 상기 복수의 게이트 밸브를 통과하는 위치의 기판에 대하여 불활성 가스를 각각 공급하는 복수의 제1 가스 공급부; 상기 제1 가스 공급부의 주변에 설치되고, 상기 제1 가스 공급부로부터 상기 기판에 불활성 가스를 공급하는 제1 가스 공급공을 형성하는 제1 가스 가이드; 상기 진공 반송실 내에 설치되고, 상기 기판을 상기 이재실에 반송하는 반송 로봇; 및 상기 복수의 제1 가스 공급부와 상기 반송 로봇을 제어하는 제어부;를 포함하고, 상기 제1 가스 가이드의 하단의 상기 기판과 대향하는 면의 상기 기판의 지름 방향의 길이가, 상기 제1 가스 공급공의 하단과 상기 기판의 거리보다 길어지도록 구성되고, 상기 복수의 처리실 내, 적어도 2개의 처리실은 인접하도록 연결되고, 상기 인접하는 처리실에 대응하는 상기 제1 가스 공급부 사이에서 상기 반송 로봇을 향하여 돌출하는 제2 가스 가이드를 더 포함한다.

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 제조 공정의 하나로서, 기판에 처리 가스와 반응 가스를 공급하는 것에 의해 기판에 막을 형성하는 처리 공정이 수행된다. 예컨대 특허문헌1 및 특허문헌2 등에 처리 공정이 기재된다.
특허문헌1. 일본 특개 2011-181771 특허문헌2. 일본 특개 2010-206222
하지만 기판의 반송 중에 기판에 파티클이 부착되어, 기판을 처리하는 품질이 저하될 수 있다.
본 발명의 목적은 기판을 처리하는 품질을 향상시키는 기술을 제공하는 데에 있다.
일 형태에 따르면, 기판을 처리하는 복수의 처리실; 상기 기판을 반송하는 진공 반송실; 상기 진공 반송실과 상기 처리실 사이에 설치되고, 상기 처리실에 각각 대응하는 복수의 이재실; 상기 진공 반송실과 상기 이재실 사이에 설치된 복수의 게이트 밸브; 상기 진공 반송실에 설치되고, 상기 복수의 게이트 밸브를 통과하는 위치의 기판에 대하여 불활성 가스를 각각 공급하는 복수의 제1 가스 공급부; 상기 제1 가스 공급부의 주변에 설치되고, 상기 제1 가스 공급부로부터 상기 기판에 불활성 가스를 공급하는 제1 가스 공급공을 형성하는 제1 가스 가이드; 상기 진공 반송실 내에 설치되고, 상기 기판을 상기 이재실에 반송하는 반송 로봇; 및 상기 복수의 제1 가스 공급부와 상기 반송 로봇을 제어하는 제어부;를 포함하고, 상기 제1 가스 가이드의 하단의 상기 기판과 대향하는 면의 상기 기판의 지름 방향의 길이가, 상기 제1 가스 공급공의 하단과 상기 기판의 거리보다 길어지도록 구성되고, 상기 복수의 처리실 내, 적어도 2개의 처리실은 인접하도록 연결되고, 상기 인접하는 처리실에 대응하는 상기 제1 가스 공급부 사이에서 상기 반송 로봇을 향하여 돌출하는 제2 가스 가이드를 더 포함하는 기술이 제공된다.
본 발명에 개시된 기술에 따르면, 기판을 처리하는 품질을 향상시키는 것이 가능해진다.
도 1은 일 실시예에 따른 기판 처리 시스템의 횡단면(橫斷面)의 개략 도면.
도 2는 일 실시예에 따른 기판 처리 시스템의 종단면(縱斷面)의 개략 도면.
도 3은 일 실시예에 따른 기판 처리 시스템의 진공 반송실 내의 프로세스 모듈 부근의 개략 도면.
도 4는 일 실시예에 따른 기판 처리 시스템의 진공 반송실 내의 프로세스 모듈 부근의 개략 도면에서, 적어도 하나의 엔드이펙터에 웨이퍼가 배치되지 않는 경우를 도시하는 도면.
도 5는 일 실시예에 따른 기판 처리 시스템의 진공 반송실 내의 프로세스 모듈 부근의 개략 도면에서 웨이퍼가 반입 전과 반출 후를 도시하는 도면.
도 6은 일 실시예에 따른 제1 가스 공급부와 웨이퍼와의 위치 관계를 도시하는 도면.
도 7은 일 실시예에 따른 제1 가스 공급부의 구성을 설명하기 위한 도면.
도 8은 일 실시예에 따른 기판 처리 시스템의 진공 반송 로봇의 개략 도면이다.
도 9는 일 실시예에 따른 진공 반송 로봇의 엔드이펙터의 높이의 관계를 도시하는 도면.
도 10은 일 실시예에 따른 기판 처리 장치의 개략 구성도.
도 11은 일 실시예에 따른 챔버의 종단면의 개략 도면.
도 12는 일 실시예에 따른 가스 공급계를 설명하기 위한 도면.
도 13은 일 실시예에 따른 기판 처리 시스템의 컨트롤러의 개략 구성도.
도 14는 일 실시예에 따른 기판 처리 공정의 플로우 차트.
도 15는 일 실시예에 따른 기판 처리 공정의 시퀀스도.
도 16은 기타의 실시예에 따른 기판 처리 시스템의 종단면의 개략 도면.
도 17은 기타의 실시예에 따른 기판 처리 시스템의 진공 반송실 내의 프로세스 모듈 부근의 개략 도면.
<제1 실시예>
이하에 본 발명의 제1 실시예를 도면을 참조하여 설명한다.
이하에 본 실시예에 따른 기판 처리 시스템을 설명한다.
(1)기판 처리 시스템의 구성
본 발명의 일 실시예에 따른 기판 처리 시스템의 개요 구성을 도 1부터 도 11을 이용해서 설명한다. 도 1은 본 실시예에 따른 기판 처리 시스템의 구성예를 도시하는 횡단면도다. 도 2는 본 실시예에 따른 기판 처리 시스템의 구성예를 도시하는 도 1의 α-α'선을 따른 종단면도다. 도 3은 진공 반송실과 프로세스 모듈 부근을 도 2의 γ-γ'선을 따라서 Z1방향으로 본 개략 도면이다. 또한 도 3에서는 설명의 편의를 위해서 진공 반송 로봇(1700) 등의 구성을 생략한다. 도 6은 제1 가스 공급부(1500)와 웨이퍼(200)와의 위치 관계를 도시하는 도면이다. 도 7은 반송실에 불활성 가스를 공급하는 불활성 가스 공급계를 설명하는 설명도다. 도 8은 도 1의 아암(arm)의 상세를 설명한 설명도다. 도 10은 도 1의 β-β'선을 따른 종단면도이며, 프로세스 모듈에 가스를 공급하는 가스 공급계를 설명하는 설명도다. 도 11은 프로세스 모듈에 설치되는 챔버를 설명하는 설명도다.
도 1 및 도 2를 참조하면, 본 발명이 적용되는 기판 처리 시스템(1000)은 웨이퍼(200)를 처리하는 것이며, IO스테이지(1100), 대기(大氣) 반송실(1200), 로드록 실(1300), 진공 반송실(1400) 및 프로세스 모듈(110a 내지 110d)로 주로 구성된다. 다음으로 각 구성을 구체적으로 설명한다. 도 1에 대한 설명에서 전후좌우는 각각 X1방향이 우측, X2방향이 좌측, Y1방향이 전측(前側), Y2방향이 후측(後側)이다.
(대기 반송실 및 IO스테이지)
기판 처리 시스템(1000)의 전측에는 IO스테이지(1100)(로드 포트)가 설치된다. IO스테이지(1100) 상에는 복수의 포드(1001)가 탑재된다. 포드(1001)는 실리콘(Si) 기판 등의 기판(200)을 반송하는 캐리어로서 이용할 수 있으며, 포드(1001) 내에는 미처리 기판(200)(웨이퍼)이나 처리 완료된 기판(200)이 각각 수평 자세로 복수 격납되도록 구성된다.
포드(1001)에는 캡(1120)이 설치되고, 후술하는 포드 오프너(1210)에 의해 개폐된다. 포드 오프너(1210)는 IO스테이지(1100)에 재치된 포드(1001)의 캡(1120)을 개폐하고, 기판 반입 반출구(1280)를 개방·폐쇄하는 것에 의해 포드(1001)에 대한 기판(200)의 출입을 가능하게 한다. 포드(1001)는 도시되지 않는 공정 내 반송 장치(Rail Guided Vehicle, RGV)에 의해 IO스테이지(1100)에 대하여 공급되거나 IO스테이지(1100)로부터 배출된다.
IO스테이지(1100)는 대기 반송실(1200)과 인접한다. 대기 반송실(1200)의 IO스테이지(1100)와 접하는 면과는 다른 면에는 후술하는 로드록 실(1300)이 연결된다.
대기 반송실(1200) 내에는 기판(200)을 이재하는 제1 반송 로봇으로서의 대기 반송 로봇(1220)이 설치된다. 도 2에 도시된 바와 같이 대기 반송 로봇(1220)은 대기 반송실(1200)에 설치된 엘리베이터(1230)에 의해 승강되도록 구성되는 것과 함께, 리니어 액츄에이터(1240)에 의해 좌우 방향으로 왕복 이동되도록 구성된다.
도 2에 도시된 바와 같이 대기 반송실(1200)의 상부에는 클린 에어를 공급하는 클린 유닛(1250)이 설치된다. 또한 도 1에 도시된 바와 같이 대기 반송실(1200)의 좌측에는 기판(200)에 형성되는 노치(notch) 또는 오리엔테이션 플랫을 맞추는 장치(1260)(이하 프리얼라이너라고 말한다)가 설치된다.
도 1 및 도 2에 도시된 바와 같이 대기 반송실(1200)의 광체[筐體(1270)] 전측에는 기판(200)을 대기 반송실(1200)에 대하여 반입 반출하기 위한 기판 반입 반출구(1280)와 포드 오프너(1210)가 설치된다. 기판 반입 반출구(1280)를 개재하여 포드 오프너(1210)와 반대측, 즉 광체(1270)의 외측에는 IO스테이지(1100)(로드 포트)가 설치된다.
대기 반송실(1200)의 광체(1270)의 후측에는 웨이퍼(200)를 로드록 실(1300)에 반입 반출하기 위한 기판 반입 반출구(1290)가 설치된다. 기판 반입 반출구(1290)는 후술하는 게이트 밸브(1330)에 의해 개방·폐쇄하는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
[로드 록(L/L)실]
로드록 실(1300)은 대기 반송실(1200)에 인접한다. 로드록 실(1300)을 구성하는 광체(1310)가 포함하는 면 중 대기 반송실(1200)과는 다른 면에는 후술하는 바와 같이 진공 반송실(1400)이 배치된다. 로드록 실(1300)은, 대기 반송실(1200)의 압력 또는 진공 반송실(1400)의 압력에 맞춰서 광체(1310) 내의 압력이 변동하기 때문에, 부압에 감내할 수 있는 구조로 구성된다.
광체(1310) 중 진공 반송실(1400)과 인접하는 측에는 기판 반입 반출구(1340)가 설치된다. 기판 반입 반출구(1340)는 게이트 밸브(1350)에 의해 개방·폐쇄하는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
또한 로드록 실(1300) 내에는 웨이퍼(200)를 재치하는 적어도 2개의 재치면(1311a, 1311b)을 구비하는 기판 재치대(1320)가 설치된다. 기판 재치면들(1311a, 1311b) 간의 거리는 후술하는 진공 반송 로봇(1700)이 포함하는 핑거 간의 거리에 따라서 설정된다.
(진공 반송실)
기판 처리 시스템(1000)은 부압 하에서 기판(200)이 반송되는 반송 공간이 되는 반송실로서의 진공 반송실(1400)(트랜스퍼 모듈)을 구비한다. 진공 반송실(1400)을 구성하는 광체(1410)는 평면시가 오각형으로 형성되고, 오각형의 각(各) 변(邊)에는 로드록 실(1300) 및 웨이퍼(200)를 처리하는 프로세스 모듈(110a 내지 110d)이 연결된다. 진공 반송실(1400)의 대략 중앙부에는 부압 하에서 기판(200)을 이재(반송)하는 제2 반송 로봇으로서의 진공 반송 로봇(1700)이 플랜지(1430)를 기부(基部)로 하여서 설치된다. 또한 도 1에서는 진공 반송실(1400)의 형상이 오각형인 예를 도시하지만 진공 반송실(1400)의 형상은 4각형이나 6각형 등의 다각형이어도 좋다.
광체(1410)의 측벽 중 로드록 실(1300)과 인접하는 측에는 기판 반입 반출구(1420)가 설치된다. 기판 반입 반출구(1420)는 게이트 밸브(1350)에 의해 개방·폐쇄하는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
진공 반송실(1400) 내에 설치되는 반송 로봇으로서의 진공 반송 로봇(1700)은 도 2에 도시된 바와 같이 엘리베이터(1450) 및 플랜지(1430)에 의해 진공 반송실(1400)의 기밀성을 유지하면서 승강할 수 있도록 구성된다. 진공 반송 로봇(1700)의 상세한 구성은 후술한다. 엘리베이터(1450)는 진공 반송 로봇(1700)이 포함하는 2개의 아암(1800, 1900)을 각각 독립해서 승강 가능하도록 구성된다.
도 1에 도시된 바와 같이 광체(1410)의 5매의 측벽 중 로드록 실(1300)이 설치되지 않는 측에는 웨이퍼(200)에 원하는 처리를 수행하는 프로세스 모듈(110a, 110b, 110c, 110d)이 연결된다.
프로세스 모듈(110a, 110b, 110c, 110d)의 각각에는 기판 처리 장치의 하나의 구성인 챔버가 설치된다. 구체적으로는 프로세스 모듈(110a)에는 챔버(100a, 100b)가 설치된다. 프로세스 모듈(110b)에는 챔버(100c, 100d)가 설치된다. 프로세스 모듈(110c)에는 챔버(100e, 100f)가 설치된다. 프로세스 모듈(110d)에는 챔버(100g, 100h)가 설치된다. 일 실시예에 대한 설명에서, 챔버(100a 내지 100h) 중 적어도 하나 또는 모두는 단순히 챔버(100)이라고도 표시된다.
광체(1410)의 측벽 중 각 챔버(100)와 대향하는 벽에는 기판 반입 반출구(1480)가 설치된다. 예컨대 도 2에 도시된 바와 같이 챔버(100e)와 대향하는 벽에는 기판 반입 반출구(1480e)가 설치된다.
도 2의 챔버(100e)를 챔버(100a)로 치환한 경우, 챔버(100a)와 대향하는 벽에는 기판 반입 반출구(1480a)가 설치된다.
마찬가지로 챔버(100f)를 챔버(100b)로 치환한 경우, 챔버(100b)와 대향하는 벽에는 기판 반입 반출구(1480b)가 설치된다.
게이트 밸브(1490a 내지 1490h)(GV)는 도 1에 도시된 바와 같이 처리실마다 설치된다. 구체적으로는 챔버(100a)와 진공 반송실(1400) 사이에는 게이트 밸브(1490a)가, 챔버(100b)와의 사이에는 게이트 밸브(1490b)가 설치된다. 챔버(100c)와의 사이에는 게이트 밸브(1490c)가, 챔버(100d)와의 사이에는 게이트 밸브(1490d)가 설치된다. 챔버(100e)와의 사이에는 게이트 밸브(1490e)가, 챔버(100f)와의 사이에는 게이트 밸브(1490f)가 설치된다. 챔버(100g)와의 사이에는 게이트 밸브(1490g)가, 챔버(100h)와의 사이에는 게이트 밸브(1490h)가 설치된다. 일 실시예에 대한 설명에서, 게이트 밸브(1490a 내지 1490h) 중 적어도 하나 또는 모두는 단순히 게이트 밸브(1490)이라고도 표시된다.
각 게이트 밸브(1490)에 의해 개방·폐쇄하는 것에 의해 기판 반입 반출구(1480)를 개재한 웨이퍼(200)의 출입을 가능하게 한다.
여기서 발명자는 이와 같은 장치구성에서 이하의 과제를 발견했다. 도 1에 도시된 바와 같이 각 프로세스 모듈(110a 내지 110d)에는 복수의 챔버(100a 내지 100h)가 설치되고, 게이트 밸브(1490a 내지 1490h)가 이웃이 되도록 구성된다. 도 3에서는 프로세스 모듈(110a)의 예를 제시한다. 웨이퍼(200)를 각 챔버(100a, 100b)에 반입할 때 후술하는 바와 같이 챔버(100a)의 압력과 챔버(100b)의 압력이 다르고, 진공 반송실(1400)의 압력과 챔버(100a)의 압력, 챔버(100b)의 압력의 관계에 의해 챔버(100a)와 챔버(100b)의 어느 하나 또는 양방(兩方)으로부터 진공 반송실(1400)에 챔버(100a, 100b) 내의 분위기가 유입되는 과제가 있다. 또한 챔버(100a)로부터 챔버(100b)에 분위기가 유입되는 것이나 챔버(100b)로부터 챔버(100a)에 분위기가 유입되는 과제가 있다. 발명자가 예의 연구한 결과 도 2의 파선 화살표로 기재한 방향으로의 가스의 흐름을 설치하는 것에 의해 챔버(100a, 100b)로부터 진공 반송실(1400)로의 분위기의 유출을 억제할 수 있는 것을 발견했다. 이하에 불활성 가스를 공급하는 구성을 설명한다.
<제1 가스 공급부>
도 1, 도 3 및 도 4에 도시된 바와 같이 게이트 밸브(1490a)(GV) 부근이며 광체(1410)의 천정(天井)에는 웨이퍼(200)의 표면에 불활성 가스를 공급하기 위한 가스 공급공(1460)(제1 가스 공급공, 불활성 가스 공급공, 게이트 밸브 가스 공급공)이 설치된다. 제1 가스 공급공(1460)의 주변에는 가스 가이드(제1 가스 가이드)(1461)가 설치된다. 가스 가이드(1461)는 제1 가스 공급공(1460)으로부터 공급되는 불활성 가스가 진공 반송실(1400)의 위쪽(上方)으로 확산하는 것을 억제시킨다. 가스 가이드(1461)는 제1 가스 공급부(1500)보다 진공 반송 로봇(1700)쪽으로 돌출한다. 여기서 도 3은 도 2에 도시한 γ-γ'선을 따라서 Z1방향으로 본 도면이다. 또한 도 3에서는 설명의 편의를 위해서 진공 반송 로봇(1700) 등의 구성은 생략한다. 도 6은 제1 가스 공급부(1500)와 웨이퍼(200)의 위치 관계를 도시한다. 제1 가스 공급부(1500)는 예컨대 도 7에 도시된 제1 가스 공급부(1500a, 1500b, 1500c, 1500d, 1500e, 1500f, 1500g 및 1500h) 중 어느 하나 또는 모두를 지칭하며, 예컨대 도 2에서는 제1 가스 공급부(1500e)를 지칭한다.
제1 가스 공급공(1460)에는 불활성 가스 공급관(1510)이 접속된다. 불활성 가스 공급관(1510)에는 상류로부터 순서대로 불활성 가스원(1520), 매스 플로우 컨트롤러(1530)(MFC) 및 밸브(1540)가 설치된다. 매스 플로우 컨트롤러(1530) 및 밸브(1540) 등에 의해 광체(1410) 내의 게이트 밸브(1490) 부근이나 게이트 밸브(1490)를 통과하는 웨이퍼(200)의 표면에 공급하는 불활성 가스의 공급량이 제어된다. 또한 바람직하게는 제1 가스 공급공(1460)의 하단은 게이트 밸브(1490)의 상단과 동등한 높이에 배치된다. 이와 같이 구성하는 것에 의해 제1 가스 공급공(1460)으로부터 공급되는 불활성 가스의 주류가 게이트 밸브(GV)나 챔버 내에 흐르도록 구성할 수 있다.
주로 제1 가스 공급공(1460), 불활성 가스 공급관(1510), 매스 플로우 컨트롤러(1530) 및 밸브(1540)에 의해서 진공 반송실(1400)의 게이트 밸브(1490)로 불활성 가스를 공급하는 불활성 가스 공급부(1500)(제1 가스 공급부)가 구성된다. 또한 불활성 가스원(1520)을 불활성 가스 공급부(1500)에 포함시켜도 좋다.
또한 제1 가스 공급공(1460)의 하단과 웨이퍼(200)의 표면 사이의 거리(D)는 도 6에 도시된 바와 같이 D<L의 관계가 되도록 구성된다. 여기서 L은 제1 가스 공급공(1460)의 하단과 웨이퍼(200)가 대향하는 면의 웨이퍼(200)의 경방향(徑方向)의 길이다. 이와 같이 구성하는 것에 의해 제1 가스 공급공(1460)으로부터 공급되는 가스의 흐름을 직접 웨이퍼(200)에 공급시킬 수 있다. 이에 의해 웨이퍼(200)의 표면에 부착되는 처리 가스, 반응 가스, 부생성물 및 파티클 중의 임의의 어느 하나 또는 2개 이상이 탈리되어 진공 반송실(1400)로 진입하는 것을 억제시킬 수 있다. D>L의 관계가 되는 경우, 제1 가스 공급공(1460)으로부터 공급되는 가스의 흐름이 웨이퍼(200)에 공급될 일이 없이 진공 반송실(1400) 내에 확산되어, 웨이퍼(200)에 흡착하는 가스 등을 탈리시키는 효과가 저감된다. 또한 이 D<L의 관계는 상측의 아암(1800)이 보지(保持)하는 웨이퍼(200)와 제1 가스 공급공(1460)과의 거리(D1)와, 하측의 아암(1900)이 보지하는 웨이퍼(200)와 제1 가스 공급공(1460)과의 거리(D2) 각각에서 마찬가지이다. 구체적으로는 D1<L, D2<L이 된다. 또한 도 6에 도시되듯이 상측 아암(1800)과 하측 아암(1900)과 같이 2개의 아암의 높이를 각각 다르게 하는 것에 의해 웨이퍼(200)의 반입과 반출을 동시에 수행할 수 있어, 반송 스루풋을 향상시킬 수 있다.
또한 바람직하게는 2개의 아암에 각각 보지된 웨이퍼(200)에 공급되는 불활성 가스의 유량을 다르게 한다. 상측의 아암(1800)이 보지하는 웨이퍼(200)와 제1 가스 공급공(1460)의 사이의 거리(D1)와 하측의 아암(1900)이 보지하는 웨이퍼(200)와 제1 가스 공급공(1460)의 사이의 거리(D2)가 달라지도록 형성되는 경우, D1일 때의 공급량으로 불활성 가스를 공급하면 D2일 때에는 웨이퍼(200)에 공급되는 양이 부족해서 챔버로부터 진공 반송실(1400)에 가스가 유입할 수 있다. 이 경우, 불활성 가스의 공급량을 D2일 때의 공급량>D1일 때의 공급량으로 하는 것에 의해 챔버 내의 분위기가 진공 반송실에 유입되는 것을 억제시킬 수 있다.
또한 도 1, 도 7과 같이 게이트 밸브(1490a, 1490b, 1490c, 1490d, 1490e, 1490f, 1490g 및 1490h)를 통과하는 웨이퍼(200)로 불활성 가스를 공급하는 제1 가스 공급부(1500), 즉 구체적으로 제1 가스 공급부(1500a, 1500b, 1500c, 1500d, 1500e, 1500f, 1500g 및 1500h)는 동일하게 구성된다. 또한 도 7에 도시된 바와 같이 불활성 가스원(1520)은 공통으로 이용하도록 구성해도 좋다.
또한 각 제1 가스 공급부(1500)에 설치된 매스 플로우 컨트롤러(1530)를 각각 제어시키는 것에 의해 각 게이트 밸브(1490a, 1490b, 1490c, 1490d, 1490e, 1490f, 1490g 및 1490h)를 통과하는 웨이퍼(200)의 표면에 공급되는 불활성 가스 유량을 각각 다르게 해도 좋다. 또한 각 제1 가스 공급부(1500)에 설치된 밸브(1540)의 개폐 타이밍을 각각 다르게 해도 좋다. 각 게이트 밸브의 개폐 타이밍이나 진공 반송 로봇(1700)이 웨이퍼(200) 반송하는 타이밍이나 리프트 핀(207)에 웨이퍼(200)가 실리는 타이밍 등에 맞춰서 불활성 가스의 공급 타이밍이나 공급량을 변화시키도록 구성해도 좋다.
예컨대 각 챔버의 분위기가 진공 반송실 내로의 유입을 억제시키기 위해서 각 챔버 내의 압력은 진공 반송실(1400) 내의 압력보다 낮게 설정된다. 도 3을 예에 설명하면, 프로세스 모듈(110a)과 진공 반송실(1400) 사이의 게이트 밸브(1490a)와 게이트 밸브(1490b)를 동시에 연 경우, 진공 반송실(1400)로부터 2개의 챔버(100a, 100b)의 양방에 진공 반송실(1400)의 분위기가 유입되고, 진공 반송실(1400)과 챔버(100a)와 챔버(100b)의 압력 차이가 작아질 것이 있다. 이 경우에 챔버(100a, 100b)로부터 진공 반송실(1400)에 가스나 챔버(100a, 100b) 내에 존재하는 부생성물이나 파티클이 유입되는 우려가 있다.
또한 각 제1 가스 공급부(1500)의 제1 가스 공급공(1460)과 대향하는 측에 가스 가이드(제2 가스 가이드)(1465)를 설치해도 좋다. 도 2를 참조하면, 가스 가이드(1465)의 상단의 높이는 게이트 밸브(1490e)의 개구부의 하단의 높이와 동일할 수 있다. 가스 가이드(1465)를 설치하는 것에 의해 제1 가스 공급공(1460)과 웨이퍼(200)가 대향하지 않는 경우이어도 제1 가스 공급공(1460)으로부터 공급되는 불활성 가스가 진공 반송실(1400) 내에 확산하지 않고 챔버측에 흐르는 가스 흐름을 형성할 수 있다. 여기서 대향하지 않는 경우라는 예컨대 이하와 같다. 각각의 경우의 예를 도 4와 도 5에 도시한다. 1) 적어도 하나의 엔드이펙터가 웨이퍼(200)를 보지하지 않은 상태에서 엔드이펙터가 챔버에 삽입되는 경우(도 4). 2) 웨이퍼(200)를 보지한 엔드이펙터가 챔버에 반송하기 시작할 때와 반출이 끝나는 경우(도 5).
광체(1410)의 저벽(底壁)에는 광체(1410)의 분위기를 배기하기 위한 배기구(1470)가 설치된다. 배기구(1470)에는 배기관(1610)이 설치된다. 배기관(1610)에는 상류로부터 순서대로 압력 제어기인 APC(1620)(AutoPressure Controller), 펌프(1630)가 설치된다. 또한 여기서 APC(1620)는 적어도 밸브체를 포함한다. 밸브체는 컨트롤러(260)로부터 송신된 데이터에 기초해서 제어 가능하도록 구성해도 좋고, APC(1620)의 내부에 제어 장치를 설치해서 APC(1620) 단독으로 밸브의 개도(開度) 조정을 가능하도록 구성해도 좋다.
주로 배기구(1470), 배기관(1610), APC(1620)에 의해 진공 반송실(1400)에서의 가스 배기부(1600)가 구성된다. 또한 펌프(1630)를 반송실 배기부에 포함시켜도 좋다.
또한 바람직하게는 배기구(1470)는 각 게이트 밸브로부터 이격된 위치에 설치된다. 즉 진공 반송 로봇(1700)의 근방에 설치된다. 이와 같이 구성하는 것에 의해 각 제1 가스 공급부(1500)로부터 공급되는 불활성 가스나 각 챔버 내의 분위기가 반송실(1400) 내에 유입되는 것을 억제시킬 수 있다.
각 게이트 밸브가 닫혀 있는 동안은 제1 가스 공급부(1500), 가스 배기부(1600)의 협동(協動)에 의해 진공 반송실(1400)의 분위기가 제어된다. APC(1620)는 각 게이트 밸브 중 어느 하나가 열려 있을 때나 각 게이트 밸브 중 어느 하나가 열리기 전에는 상기의 파선 화살표 방향의 가스 흐름이 발생하도록 APC(1620)의 밸브 개도를 작게 조정한다. 또한 이때 APC(1620)는 완전히 닫히도록 구성해도 좋다.
계속해서 진공 반송실(1400)에 탑재되는 진공 반송 로봇(1700)에 대해서 도 8을 이용해서 설명한다. 도 8은 도 1의 진공 반송 로봇(1700)을 확대한 도면이다.
진공 반송 로봇(1700)은 2개의 아암(1800)과 아암(1900)을 구비한다. 아암(1800)은 선단(先端)에 2개의 엔드이펙터(1810)와 엔드이펙터(1820)가 설치된 포크 포션(1830)을 포함한다. 포크 포션(1830)의 근원에는 미들 포션(1840)이 축(1850)을 개재하여 접속된다. 또한 아암(1800)은 2매의 웨이퍼(200)를 동시에 반송하는 관계상 엔드이펙터(1810)의 높이와 엔드이펙터(1820)의 높이가 달라지도록 형성되는 경우가 있다. 엔드이펙터의 높이를 다르게 하는 것에 의해 반송 시의 웨이퍼(200)의 위치 조정을 용이하게 할 수 있고, 반송 스루풋을 향상시킬 수 있다. 여기서는 예컨대 도 9에 도시된 바와 같이 엔드이펙터(1810)의 높이가 엔드이펙터(1820)보다 높아지도록 구성된다.
이와 같이 엔드이펙터(1810)의 높이와 엔드이펙터(1820)의 높이가 다른 경우, 전술한 거리D가 엔드이펙터에 의해 변화된다. 이와 같은 경우, 엔드이펙터 상의 컨덕턴스가 변화되기 때문에 거리D가 짧은 쪽으로부터 긴 쪽으로 불활성 가스가 흐를 수 있다. 이에 의해 하나의 챔버의 분위기나 파티클이 다른 챔버에 흐를 수 있다. 도 3의 경우에서는 챔버(100b)로부터 챔버(100a) 쪽으로 가스가 흐른다. 이 경우, 가스 공급공(1460b)에 공급하는 불활성 가스의 유량을 가스 공급공(1460a)에 공급하는 불활성 가스의 유량보다 적게 하거나 가스 공급공(1460a)에 공급하는 불활성 가스의 유량을 가스 공급공(1460b)에 공급하는 불활성 가스의 유량보다 많게 하는 것에 의해 챔버(100b)로부터 챔버(100a)로의 가스 흐름을 억제시킬 수 있다.
엔드이펙터(1810)와 엔드이펙터(1820)에는 각각의 프로세스 모듈(110)로부터 반출되는 웨이퍼(200)가 재치된다. 도 2에서는 프로세스 모듈(110c)로부터 반출되는 웨이퍼(200)가 재치되는 예를 제시한다.
미들 포션(1840) 중 포크 포션(1830)과 다른 개소(箇所)에는 보텀(bottom) 포션(1860)이 축(1870)을 개재하여 접속된다. 보텀 포션(1860)은 축(1880)을 개재하여 플랜지(1430)에 배치된다.
아암(1900)은 선단에 2개의 엔드이펙터(1910)와 엔드이펙터(1920)가 설치된 포크 포션(1930)을 포함한다. 포크 포션(1930)의 근원에는 미들 포션(1940)이 축(1950)을 개재하여 접속된다. 또한 아암(1900)은 2매의 웨이퍼(200)를 동시에 반송하는 관계상 엔드이펙터(1910)의 높이와 엔드이펙터(1920)의 높이가 달라지도록 구성된다. 엔드이펙터의 높이를 다르게 하는 것에 의해 반송 시의 웨이퍼(200)의 위치 조정을 용이화시킬 수 있다. 여기서는 예컨대 엔드이펙터(1910)의 높이가 엔드이펙터(1920)보다 높아지도록 구성된다.
엔드이펙터(1910)와 엔드이펙터(1920)에는 로드록 실(1300)로부터 반출되는 웨이퍼(200)가 재치된다.
미들 포션(1940) 중 포크 포션(1930)과 다른 개소에는 보텀 포션(1960)이 축(1970)을 개재하여 접속된다. 보텀 포션(1970)은 축(1980)을 개재하여 플랜지(1430)에 배치된다.
또한 엔드이펙터(1810) 및 엔드이펙터(1820)는 엔드이펙터(1910) 및 엔드이펙터(1920)보다 높은 위치에 배치된다.
진공 반송 로봇(1700)은 축을 중심으로 한 회전이나 아암의 연장이 가능하다.
(프로세스 모듈)
계속해서 각 프로세스 모듈(110)의 중 프로세스 모듈(110a)에 대해서 도 1, 도 2 및 도 10을 예로 해서 설명한다. 도 10은 프로세스 모듈(110a)과 프로세스 모듈(110a)에 접속되는 가스 공급부와 프로세스 모듈(110a)에 접속되는 가스 배기부와의 관계를 설명하는 설명도다.
여기서는 프로세스 모듈(110a)을 예로 들어 설명하지만 다른 프로세스 모듈(110b), 프로세스 모듈(110c), 프로세스 모듈(110d)에서도 동일한 구조이기 때문에 여기서는 설명을 생략한다.
도 10에 도시된 바와 같이 프로세스 모듈(110a)에는 웨이퍼(200)를 처리하는 기판 처리 장치의 일 구성의 챔버(100a)와 챔버(100b)가 설치된다. 챔버(100a)와 챔버(100b) 사이에는 격벽(2040a)이 설치되어, 각각의 챔버 내의 분위기가 혼재하지 않도록 구성된다.
도 2에 도시된 바와 같이 챔버(100e)와 진공 반송실(1400)이 이웃이 되는 벽에는 기판 반입 반출구(2060e)가 설치된다. 마찬가지로 챔버(100a) 내지 챔버(100d), 챔버(100f) 내지 챔버(100h)와 진공 반송실(1400)이 이웃이 되는 벽에는 기판 반입 반출구(미도시)가 설치된다.
각 챔버(100)에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다.
프로세스 모듈(110a)에는 챔버(100a)와 챔버(100b)의 각각 처리 가스를 공급하는 가스 공급부가 접속된다. 가스 공급부는 제1 처리 가스 공급부, 제2 처리 가스 공급부, 제1 퍼지 가스 공급부 및 제2 퍼지 가스 공급부 중의 적어도 하나 이상으로 구성된다. 각 가스 공급부의 구성에 대해서는 후술한다.
(1) 기판 처리 장치의 구성
제1 실시예에 따른 기판 처리 장치에 대해서 설명한다.
본 실시예에 따른 처리 장치(100)에 대해서 설명한다. 기판 처리 장치(100)는 고(高)유전율 절연막 형성 유닛이며, 도 11에 도시된 바와 같이 매엽식(枚葉式) 기판 처리 장치로서 구성된다. 기판 처리 장치에서는 전술한 바와 같이 반도체 디바이스의 제조의 일 공정이 수행된다.
도 11에 도시된 바와 같이 기판 처리 장치(100)는 처리 용기(202)를 구비한다. 처리 용기(202)는 예컨대 횡단면이 원형이며 편평한 밀폐 용기로서 구성된다. 또한 처리 용기(202)는 예컨대 알루미늄(Al)이나 스텐레스 스틸(SUS) 등의 금속 재료 또는 석영에 의해 구성된다. 처리 용기(202) 내에는 기판으로서의 실리콘 웨이퍼 등의 웨이퍼(200)를 처리하는 처리 공간(201)(처리실), 이재 공간(203)(이재실)이 형성된다. 처리 용기(202)는 상부 용기(202a)와 하부 용기(202b)로 구성된다. 상부 용기(202a)와 하부 용기(202b)의 사이에는 칸막이 판(204)이 설치된다. 상부 처리 용기(202a)에 둘러싸여진 공간이며, 칸막이 판(204)보다 상방의 공간을 처리 공간(201)(처리실이라고도 말한다)이라고 부르고, 하부 용기(202b)에 둘러싸여진 공간이며, 칸막이 판보다 하방(下方)의 공간을 이재실(203)이라고 부른다.
하부 용기(202b)의 측면에는 게이트 밸브(1490)에 인접한 기판 반입 반출구(1480)가 설치되고 웨이퍼(200)는 기판 반입 반출구(1480)를 개재하여 진공 반송실(1400)과의 사이를 이동한다. 하부 용기(202b)의 저부(底部)에는 리프트 핀(207)이 복수 설치된다. 또한 하부 용기(202b)는 접지(接地)된다.
처리실(201) 내에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다. 기판 지지부(210)는 웨이퍼(200)를 재치하는 재치면(211)과 외주면(215)을 표면에 구비하는 기판 재치대(212)를 포함한다. 바람직하게는 가열부로서의 히터(213)를 설치한다. 가열부를 설치하는 것에 의해 기판을 가열시켜, 기판 상에 형성되는 막의 품질을 향상시킬 수 있다. 기판 재치대(212)에는 리프트 핀(207)이 관통하는 관통공(214)이 리프트 핀(207)과 대응하는 위치에 각각 설치되어도 좋다. 또한 기판 재치대(212)의 표면에 형성된 재치면(211)의 높이를 외주면(215)보다 웨이퍼(200)의 두께에 상당하는 길이만큼 낮게 형성해도 좋다. 이와 같이 구성하는 것에 의해 웨이퍼(200)의 상면의 높이와 기판 재치대(212)의 외주면(215)과의 높이의 차이가 작아져, 차이에 의해 발생하는 가스의 난류(亂流)를 억제할 수 있다. 또한 가스의 난류가 웨이퍼(200)로의 처리 균일성에 영향을 주지 않는 경우는 외주면(215)의 높이를 재치면(211)과 동일 평면상의 높이 이상이 되도록 구성해도 좋다.
기판 재치대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는 처리 용기(202)의 저부를 관통하고 또한 처리 용기(202)의 외부에서 승강 기구(218)에 접속된다. 승강 기구(218)를 작동시켜서 샤프트(217) 및 기판 재치대(212)를 승강시키는 것에 의해 기판 재치면(211) 상에 재치되는 웨이퍼(200)를 승강시키는 것이 가능하도록 구성된다. 또한 샤프트(217) 하단부의 주위는 벨로즈(219)(bellows)에 의해 피복되고, 처리실(201) 내는 기밀하게 보지된다.
기판 재치대(212)는 웨이퍼(200)의 반송 시에는 기판 재치면(211)이 예컨대 도 2에 도시된 기판 반입 반출구(2060e)에 대응하는 기판 반입 반출구의 위치(웨이퍼 반송 위치)가 되도록 하강하고, 웨이퍼(200)의 처리 시에는 도 11에서 도시되듯이 웨이퍼(200)가 처리실(201) 내의 처리 위치(웨이퍼 처리 위치)까지 상승한다.
구체적으로는 기판 재치대(212)를 웨이퍼 반송 위치까지 하강시켰을 때에는 리프트 핀(207)의 상단부가 기판 재치면(211)의 상면으로부터 돌출하고, 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 기판 재치대(212)를 웨이퍼 처리 위치까지 상승시켰을 때에는 리프트 핀(207)은 기판 재치면(211)의 상면으로부터 매몰하고, 기판 재치면(211)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 리프트 핀(207)은 웨이퍼(200)와 직접 접촉하기 때문에 예컨대 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다. 또한 리프트 핀(207)에 승강 기구를 설치하고, 기판 재치대(212)와 리프트 핀(207)이 상대적으로 움직이도록 구성해도 좋다.
(배기계)
처리실(201)[상부 용기(202a)]의 내벽 상면에는 처리실(201)의 분위기를 배기하는 제1 배기구로서의 배기구(221)가 설치된다. 배기구(221)에는 제1 배기관으로서의 배기관(224)이 접속되고, 배기관(224)에는 처리실(201) 내를 소정의 압력으로 제어하는 밸브(227), 압력 조정기(도 10의 226a, 226b 222a), 진공 펌프(도 10의 223a)가 순서대로 직렬로 접속된다. 주로 배기구(221), 배기관(224), 밸브(227)에 의해 제1 배기부(배기 라인)가 구성된다. 또한 압력 조정기(도 10의 226a, 226b 222a), 진공 펌프(도 10의 223a)를 제1 배기부에 포함시키도록 구성해도 좋다.
버퍼 공간(232)을 구성하는 정류판(270)의 이면(裏面)에는 버퍼 공간(232)의 분위기를 배기하는 제2 배기구로서의 샤워 헤드 배기구(240)가 설치된다. 버퍼 공간(232)의 분위기는 정류판(270)의 이면과 배기 가이드(235)로 구성되는 배기 유로(미도시)를 개재하여 샤워 헤드 배기구(240)에 배기 가능하도록 구성된다. 샤워 헤드 배기구(240)에는 제2 배기관으로서의 배기관(236)이 접속되고 배기관(236)에는 밸브(237) 등이 순서대로 직렬로 접속된다. 주로 샤워 헤드 배기구(240), 밸브(237), 배기관(236)에 의해 제2 배기부(배기 라인)가 구성된다. 또한 배기관(236)을 진공 펌프(223a)에 접속하도록 구성해도 좋다.
또한 하부 용기(202b)에는 하부 용기 배기구(1481)가 설치되고, 하부 용기(202b) 내를 배기 가능하도록 구성된다. 또한 하부 용기 배기구(1481)는 하부 용기(202b)를 개재하여 상부 용기(202a)를 배기하는 것도 가능하다.
(가스 도입구)
처리실(201)의 상부에 설치되는 샤워 헤드(234)의 상면(천정벽)에는 처리실(201) 내에 각종 가스를 공급하기 위한 가스 도입구(241)가 설치된다. 가스 공급부인 제1 가스 도입구(241)에 접속되는 가스 공급 유닛의 구성에 대해서는 후술한다.
(가스 분산부)
샤워 헤드(234)는 버퍼실(232)(공간), 분산판(234b), 분산공(234a)에 의해 구성된다. 샤워 헤드(234)는 가스 도입구(241)와 처리실(201) 사이에 설치된다. 가스 도입구(241)로부터 도입되는 가스는 샤워 헤드(234)의 버퍼 공간(232)(분산부)에 공급된다. 샤워 헤드(234)는 예컨대 석영, 알루미나, 스텐레스 스틸, 알루미늄 등의 재료로 구성된다.
또한 샤워 헤드(234)의 덮개(231)를 도전성이 있는 금속으로 형성하고, 버퍼 공간(232) 또는 처리실(201) 내에 존재하는 가스를 여기(勵起)하기 위한 활성화부(여기부)로 해도 좋다. 이때 덮개(231)와 상부 용기(202a) 사이에는 절연 블록(233)이 설치되고, 덮개(231)와 상부 용기(202a) 사이를 절연한다. 활성화부로서의 전극[덮개(231)]에는 정합기(251)와 고주파 전원(252)을 접속하고, 전자파(고주파 전력이나 마이크로파)가 공급 가능하도록 구성되어도 좋다.
버퍼 공간(232)에는 가스 도입구(241)로부터 도입된 가스를 버퍼 공간(232)에 확산시키기 위한 정류부로서의 정류판(270)이 설치된다.
(처리 가스 공급부)
정류판(270)에 접속된 가스 도입구(241)에는 공통 가스 공급관(242)이 접속된다. 도 12에 도시된 바와 같이 공통 가스 공급관(242)에는 제1 처리 가스 공급관(243a), 제2 처리 가스 공급관(244a), 퍼지 가스 공급관(245a), 클리닝 가스 공급관(248a)이 접속된다.
제1 처리 가스 공급관(243a)을 포함하는 제1 처리 가스 공급부(243)로부터는 제1 원소 함유 가스(제1 처리 가스)가 주로 공급되고, 제2 가스 공급관(244a)을 포함하는 제2 처리 가스 공급부(244)로부터는 주로 제2 원소 함유 가스(제2 처리 가스)가 공급된다. 퍼지 가스 공급관(245a)을 포함하는 퍼지 가스 공급부(245)로부터는 주로 퍼지 가스가 공급되고, 클리닝 가스 공급관(248a)을 포함하는 클리닝 가스 공급부(248)로부터는 클리닝 가스가 공급된다.
(제1 처리 가스 공급부)
제1 처리 가스 공급관(243a)에는 상류 방향으로부터 순서대로 제1 처리 가스 공급원(243b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(243c) 및 개폐 밸브인 밸브(243d)가 설치된다.
제1 처리 가스 공급원(243b)로부터 제1 원소를 함유하는 가스(제1 처리 가스)가 매스 플로우 컨트롤러(243c), 밸브(243d), 제1 처리 가스 공급관(243a), 공통 가스 공급관(242)을 개재하여 가스 버퍼 공간(232)에 공급된다.
제1 처리 가스는 원료 가스, 즉 처리 가스의 하나다. 여기서 제1 원소는 예컨대 실리콘(Si)이다. 즉 제1 처리 가스는 예컨대 실리콘 함유 가스다. 실리콘 함유 가스로서는 예컨대 디클로로실란[Dichlorosilane(SiH2Cl2):DCS] 가스를 이용할 수 있다. 또한 제1 처리 가스 원료는 상온 상압에서 고체, 액체 및 기체의 어느 하나이어도 좋다. 제1 처리 가스 원료가 상온 상압에서 액체의 경우는 제1 처리 가스 공급원(243b)과 매스 플로우 컨트롤러(243c) 사이에 도시되지 않는 기화기를 설치하면 좋다. 여기서는 원료는 기체로서 설명한다.
제1 처리 가스 공급관(243a)의 밸브(243d)보다 하류측에는 제1 불활성 가스 공급관(246a)의 하류단이 접속된다. 제1 불활성 가스 공급관(246a)에는 상류 방향으로부터 순서대로 불활성 가스 공급원(246b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(246c) 및 개폐 밸브인 밸브(246d)가 설치된다.
여기서 불활성 가스는 예컨대 질소(N2) 가스다. 또한 불활성 가스로서 N2가스의 이외, 예컨대 헬륨(He) 가스, 네온(Ne) 가스, 아르곤(Ar) 가스 등의 희가스를 이용할 수 있다.
주로 제1 처리 가스 공급관(243a), 매스 플로우 컨트롤러(243c), 밸브(243d)에 의해 제1 원소 함유 가스 공급부(243)(실리콘 함유 가스 공급부라고도 말한다)가 구성된다.
또한 주로 제1 불활성 가스 공급관(246a), 매스 플로우 컨트롤러(246c) 및 밸브(246d)에 의해 제1 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(246b), 제1 가스 공급관(243a)을 제1 불활성 가스 공급부에 포함시켜서 생각해도 좋다.
또한 제1 처리 가스 공급원(243b), 제1 불활성 가스 공급부를 제1 원소 함유 가스 공급부에 포함시켜서 생각해도 좋다.
(제2 처리 가스 공급부)
제2 처리 가스 공급관(244a)의 상류에는 상류 방향으로부터 순서대로 제2 처리 가스 공급원(244b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(244c) 및 개폐 밸브인 밸브(244d)가 설치된다.
제2 처리 가스 공급원(244b)으로부터 제2 원소를 함유하는 가스(이하 「제2 처리 가스」)가 공급되고, 매스 플로우 컨트롤러(244c), 밸브(244d), 제2 처리 가스 공급관(244a), 공통 가스 공급관(242)을 개재하여 버퍼 공간(232)에 공급된다.
제2 처리 가스는 처리 가스의 하나다. 또한 제2 처리 가스는 처리 가스와 반응하는 반응 가스이어도 좋고, 기판에 형성된 막과 반응하는 개질 가스로서 생각해도 좋다.
여기서 제2 처리 가스는 제1 원소와 다른 제2 원소를 함유한다. 제2 원소로서는 예컨대 산소(O), 질소(N), 탄소(C), 수소(H)의 중 하나 이상을 포함한다. 본 실시예에서는 제2 처리 가스는 예컨대 질소 함유 가스인 것으로 한다. 구체적으로는 질소 함유 가스로서는 암모니아(NH3) 가스가 이용된다.
주로 제2 처리 가스 공급관(244a), 매스 플로우 컨트롤러(244c), 밸브(244d)에 의해 제2 처리 가스 공급부(244)가 구성된다.
이에 부가하여 활성화부로서의 리모트 플라즈마 유닛(244e)(RPU)을 설치하고, 제2 처리 가스를 활성화 가능하도록 구성해도 좋다.
또한 제2 처리 가스 공급관(244a)의 밸브(244d)보다 하류측에는 제2 불활성 가스 공급관(247a)의 하류단이 접속된다. 제2 불활성 가스 공급관(247a)에는 상류 방향으로부터 순서대로 불활성 가스 공급원(247b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(247c) 및 개폐 밸브인 밸브(247d)가 설치된다.
제2 불활성 가스 공급관(247a)으로부터는 불활성 가스가 매스 플로우 컨트롤(247c), 밸브(247d), 제2 가스 공급관(247a)을 개재하여 버퍼 공간(232)에 공급된다. 불활성 가스는 성막 공정[후술하는 부호(S203 내지 S207)]에서는 캐리어 가스 또는 희석 가스로서 작용한다.
주로 제2 불활성 가스 공급관(247a), 매스 플로우 컨트롤러(247c) 및 밸브(247d)에 의해 제2 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(247b), 제2 가스 공급관(244a)을 제2 불활성 가스 공급부에 포함시켜서 생각해도 좋다.
또한 제2 처리 가스 공급원(244b), 제2 불활성 가스 공급부를 제2 원소 함유 가스 공급부(244)에 포함시켜서 생각해도 좋다.
(퍼지 가스 공급부)
퍼지 가스 공급관(245a)에는 상류 방향으로부터 순서대로 퍼지 가스 공급원(245b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(245c) 및 개폐 밸브인 밸브(245d)가 설치된다.
퍼지 가스 공급원(245b)으로부터 퍼지 가스로서의 불활성 가스가 매스 플로우 컨트롤러(245c), 밸브(245d), 퍼지 가스 공급관(245a), 공통 가스 공급관(242)을 개재하여 버퍼 공간(232)에 공급된다.
여기서 불활성 가스는 예컨대 질소(N2) 가스다. 또한 불활성 가스로서 N2가스의 이외, 예컨대 헬륨(He) 가스, 네온(Ne) 가스, 아르곤(Ar) 가스 등의 희가스를 이용할 수 있다.
주로 퍼지 가스 공급관(245a), 매스 플로우 컨트롤러(245c), 밸브(245d)에 의해 퍼지 가스 공급부(245)(퍼지 가스 공급부라고도 말한다)가 구성된다.
(클리닝 가스 공급부)
클리닝 가스 공급관(243a)에는 상류 방향으로부터 순서대로 클리닝 가스원(248b), 매스 플로우 컨트롤러(248c), 밸브(248d), 리모트 플라즈마 유닛(250)(RPU)이 설치된다.
클리닝 가스원(248b)으로부터 클리닝 가스가 공급되고, MFC(248c), 밸브(248d), RPU(250), 클리닝 가스 공급관(248a), 공통 가스 공급관(242)을 개재하여 가스 버퍼 공간(232)에 공급된다.
클리닝 가스 공급관(248a)의 밸브(248d)보다 하류측에는 제4 불활성 가스 공급관(249a)의 하류단이 접속된다. 제4 불활성 가스 공급관(249a)에는 상류 방향으로부터 순서대로 제4 불활성 가스 공급원(249b), MFC(249c), 밸브(249d)가 설치된다.
또한 주로 클리닝 가스 공급관(248a), MFC(248c) 및 밸브(248d)에 의해 클리닝 가스 공급부가 구성된다. 또한 클리닝 가스원(248b), 제4 불활성 가스 공급관(249a), RPU(250)를 클리닝 가스 공급부에 포함시켜서 생각해도 좋다.
또한 제4 불활성 가스 공급원(249b)으로부터 공급되는 불활성 가스를 클리닝 가스의 캐리어 가스 또는 희석 가스로서 작용하도록 공급해도 좋다.
클리닝 가스 공급원(248b)으로부터 공급되는 클리닝 가스는 클리닝 공정에서는 가스 정류부(234)나 처리실(201)에 부착된 부생성물 등을 제거하는 클리닝 가스로서 작용한다.
여기서 클리닝 가스는 예컨대 3불화질소(NF3) 가스다. 또한 클리닝 가스로서 예컨대 불화수소(HF) 가스, 3불화 염소 가스(ClF3) 가스, 불소(F2) 가스 등을 이용해도 좋고 또한 이들을 조합시켜서 이용해도 좋다.
또한 바람직하게는 전술한 각 가스 공급부에 설치된 유량 제어부로서는 니들 밸브나 오리피스 등의 가스 플로우의 응답성이 높은 밸브가 좋다. 예컨대 가스 펄스 폭이 밀리초 오더가 된 경우는 MFC로는 대응할 수 없는 경우가 있지만 니들 밸브나 오리피스의 경우는 고속인 ON/OFF밸브와 조합하는 것에 의해 밀리 초 이하의 가스 펄스에 대응하는 것이 가능해진다.
(제어부)
도 13에 도시된 바와 같이 챔버(100)는 챔버(100)의 각(各) 부(部)의 동작을 제어하는 컨트롤러(260)를 포함한다.
컨트롤러(260)의 개략을 도 13에 도시한다. 제어부(제어 수단)인 컨트롤러(260)는 CPU(260a)(Central Processing Unit), RAM(260b)(Random Access Memory), 기억 장치(260c), I/O 포트(260d)를 구비한 컴퓨터로서 구성된다. RAM(260b), 기억 장치(260c), I/O 포트(260d)는 내부 버스(260e)를 개재하여 CPU(260a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(260)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(261)나 외부 기억 장치(262), 수신부(285) 등이 접속 가능하도록 구성된다.
기억 장치(260c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(260c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피, 웨이퍼(200)로의 처리에 이용하는 프로세스 레시피를 설정할 때까지의 과정에서 발생하는 연산 데이터나 처리 데이터 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(260)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 말한다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(260b)는 CPU(260a)에 의해 판독된 프로그램, 연산 데이터 처리 데이터 등의 데이터가 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(260d)는 게이트 밸브(1330, 1350, 1490), 승강 기구(218), 히터(213), 압력 조정기(222, 226, 1620), 진공 펌프[223(223a, 223b, 223c, 223d), 1630], 정합기(251), 고주파 전원(252), 매스 플로우 컨트롤러(243c, 244c, 245c, 246c, 247c, 248c, 249c, 1530), 밸브(227, 228, 236, 237, 243d, 244d, 245d, 246d, 247d, 248d, 249d, 1540), 리모트 플라즈마 유닛(244e, 250)(RPU) 등에 접속된다.
연산부로서의 CPU(260a)는 기억 장치(260c)로부터의 제어 프로그램을 판독해서 실행하는 것과 함께, 입출력 장치(260)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(260c)로부터 프로세스 레시피를 판독하도록 구성된다. 또한 수신부(285)로부터 입력된 설정 값과 기억 장치(121c)에 기억된 프로세스 레시피나 제어 데이터를 비교·연산하고, 연산 데이터를 산출 가능하도록 구성된다. 또한 연산 데이터로 대응하는 처리 데이터(프로세스 레시피)의 결정 처리 등을 실행 가능하도록 구성된다. 그리고 CPU(260a)는 판독된 프로세스 레시피의 내용에 따라서 게이트 밸브[1330, 1350, 1490(1490a, 1490b, 1490c, 1490d, 1490e, 1490f, 1490g, 1490h)]의 개폐 동작, 승강 기구(218)의 승강 동작, 히터(213)로의 전력 공급 동작, 압력 조정기(222, 226, 1620)의 압력 조정 동작, 진공 펌프[223(223a, 223b, 223c, 223d)]의 온/오프 제어, RPU(244e, 250)의 가스의 활성화 동작, 밸브(227, 228, 236, 237, 243d, 244d, 245d, 246d, 247d, 248d, 249d, 1540)의 개폐 제어, 정합기(251)의 전력의 정합 동작, 고주파 전원(252)의 온/오프 제어 등을 제어하도록 구성된다.
또한 컨트롤러(260)는 전용의 컴퓨터로서 구성되는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(262)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(262)를 이용해서 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시예에 따른 컨트롤러(260)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(262)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 수신부(285)나 네트워크(263)(인터넷이나 전용 회선) 등의 통신 수단을 이용해서 외부 기억 장치(262)를 개재하지 않고 프로그램을 공급하도록 해도 좋다. 또한 기억 장치(260c)나 외부 기억 장치(262)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하 이들을 총칭하여 단순히 기록 매체라고도 한다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(260c) 단체만을 포함하는 경우, 외부 기억 장치(262) 단체만을 포함하는 경우 또는 그들의 양방을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로 전술한 기판 처리 장치의 처리로를 이용해서 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서 기판 상에 절연막이며, 예컨대 실리콘 함유 막으로서의 실리콘 질화막(SiN막)을 성막하는 시퀀스예에 대해서 도 14, 15를 참조해서 설명한다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(260)에 의해 제어된다.
또한 본 명세서에서 「웨이퍼」라는 단어를 이용한 경우에는 「웨이퍼 그 자체」를 의미하는 경우나 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과 그 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 칭하는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나 「웨이퍼에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
따라서 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나 「웨이퍼에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한 본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환해서 생각하면 좋다.
이하에 기판 처리 공정에 대해서 설명한다.
[기판 반입 공정(S201)]
기판 처리 공정 시는 우선 웨이퍼(200)를 처리실(201)에 반입시킨다. 구체적으로는 기판 지지부(210)를 승강 기구(218)에 의해 하강시켜, 리프트 핀(207)이 관통공(214)으로부터 기판 지지부(210)의 상면측에 돌출시킨 상태로 한다. 또한 처리실(201) 내와 이재실(203) 내에 불활성 가스를 공급하면서 하부 용기 배기구(1481)로부터 불활성 가스를 배기하면서 처리실(201) 내와 이재실(203) 내를 소정의 압력으로 조압(調壓)한다. 처리실(201) 내와 이재실(203) 내의 조압 후, 게이트 밸브(1490)를 개방하여 게이트 밸브(1490)로부터 리프트 핀(207) 상에 웨이퍼(200)를 재치시킨다. 웨이퍼(200)를 리프트 핀(207) 상에 재치시킨 후, 승강 기구(218)에 의해 기판 지지부(210)를 소정의 위치까지 상승시키는 것에 의해 웨이퍼(200)가 리프트 핀(207)으로부터 기판 지지부(210)에 재치되도록 이루어진다. 또한 게이트 밸브(1490)를 개방하기 전에 제1 가스 공급부(1500)로부터 웨이퍼(200)가 게이트 밸브(1490)를 통과하는 위치에 불활성 가스의 공급을 개시시킨다. 또한 바람직하게는 이때 APC(1620)와 밸브(227)를 닫아 배기구(221)나 배기구(1470)로부터 배기시키지 않도록 한다. 이와 같이 구성하는 것에 의해 도 2에 기재한 파선 화살표 방향으로의 가스 흐름을 형성할 수 있고, 챔버(100a)로부터 진공 반송실(1400)로의 역류를 억제시킬 수 있다.
웨이퍼(200)가 기판 지지부(210)에 재치되고, 기판 재치대(212)가 도 11에 도시하는 처리 위치에 도달했을 때 밸브(227)를 열어 배기구(221)로부터 처리실(201) 내의 분위기의 배기를 시작한다. 또한 이때 게이트 밸브(1490)를 닫은 후에 하부 용기 배기구(1481)에 접속된 밸브(228)를 닫아 하부 용기(202b) 내의 배기를 정지한다.
게이트 밸브(1490a)를 닫은 후, 불활성 가스 공급부(1500a)로부터의 불활성 가스의 공급을 정지한다. 또한 다른 게이트 밸브[1490(1490b, 1490c, 1490d, 1490e, 1490f, 1490g, 1490h), 1350]가 열려 있는 경우는 각 게이트 밸브에 대응하는 불활성 가스 공급부(1500b, 1500c, 1500d, 1500e, 1500f, 1500g, 1500h)로부터의 불활성 가스 공급을 계속시킨다.
[감압·승온 공정(S202)]
계속해서 처리실(201) 내가 소정의 압력(진공도)이 되도록 처리실 배기관(224)을 개재하여 처리실(201) 내를 배기한다. 이때 압력 센서가 측정한 압력 값에 기초해서 압력 조정기(222)로서의 APC밸브의 밸브의 개도를 피드백 제어한다. 또한 온도 센서(도시되지 않음)가 검출한 온도 값에 기초해서 처리실(201) 내가 소정의 온도가 되도록 히터(213)로의 통전량을 피드백 제어한다. 구체적으로는 기판 지지부(210)를 히터(213)에 의해 미리 가열하고, 웨이퍼(200) 또는 기판 지지부(210)의 온도 변화가 없어진 후, 일정 시간 둔다. 그 사이에 처리실(201) 내에 잔류하는 수분 또는 부재로부터 탈리되는 가스 등이 있는 경우는 진공 배기나 N2가스의 공급에 의한 퍼지에 의해 제거해도 좋다. 이것으로 성막 프로세스 전의 준비가 완료되도록 이루어진다. 또한 처리실(201) 내를 소정의 압력으로 배기할 때에 1회 도달 가능한 진공도까지 진공 배기해도 좋다.
[성막 공정(S301)]
계속해서 웨이퍼(200)에 SiN막을 성막하는 예에 대해서 설명한다. 성막 공정(S301)의 상세에 대해서 도 14 및 도 15를 이용해서 설명한다.
웨이퍼(200)가 기판 지지부(210)에 재치되고, 처리실(201) 내의 분위기가 안정된 후, 도 14에 도시하는 부호(S203 내지 S207)의 스텝이 수행된다.
[제1 처리 가스 공급 공정(S203)]
제1 처리 가스 공급 공정(S203)에서는 제1 처리 가스 공급부로부터 처리실(201) 내에 제1 가스(원료 가스)로서의 실리콘 함유 가스를 공급한다. 실리콘 함유 가스로서는 예컨대 디클로로실란(DCS)이 있다. 구체적으로는 가스 밸브를 열어 실리콘 함유 가스를 가스원으로부터 챔버(100)에 공급한다. 그 때 처리실측 밸브를 열어 MFC로 소정 유량으로 조정한다. 유량 조정된 실리콘 함유 가스는 버퍼 공간(232)을 통해서 샤워 헤드(234)의 분산공(234a)으로부터 감압 상태의 처리실(201) 내에 공급된다. 또한 배기계에 의한 처리실(201) 내의 배기를 계속해서 처리실(201) 내의 압력을 소정의 압력 범위가 되도록 제어한다. 이때 웨이퍼(200)에 대하여 공급되는 실리콘 함유 가스는 소정의 압력(제1 압력: 예컨대 100Pa 이상 20000Pa 이하)으로 처리실(201) 내에 공급한다. 이와 같이 하여 웨이퍼(200)에 실리콘 함유 가스를 공급한다. 실리콘 함유 가스가 공급되는 것에 의해 웨이퍼(200) 상에 실리콘 함유층이 형성된다.
[제1 퍼지 공정(S204)]
웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 실리콘 함유 가스의 공급을 정지한다. 원료 가스를 정지하는 것에 의해 처리실(201) 중에 존재하는 원료 가스나 버퍼 공간(232) 중에 존재하는 원료 가스를 처리실 배기관(224)으로부터 배기되는 것에 의해 제1 퍼지 공정(S204)이 수행된다.
또한 퍼지 공정에서는 단순히 가스를 배기(진공 흡입)해서 가스를 배출하는 것 이외에 불활성 가스를 공급하고, 잔류 가스를 압출(押出)하는 것에 의한 배출 처리를 수행하도록 구성해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 조합해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 교호(交互)적으로 수행하도록 구성해도 좋다.
또한 이때 샤워 헤드 배기관(236)의 밸브(237)를 열어 버퍼 공간(232) 내에 존재하는 가스를 샤워 헤드 배기관(236)으로부터 배기해도 좋다. 또한 배기 중에 압력 조정기(227)와 밸브(237)에 의해 샤워 헤드 배기관(236)과 버퍼 공간(232) 내의 압력(배기 컨덕턴스)을 제어한다. 배기 컨덕턴스는 버퍼 공간(232)에서의 샤워 헤드 배기관(236)으로부터의 배기 컨덕턴스가 처리실(201)을 개재한 처리실 배기관(224)으로의 배기 컨덕턴스보다 높아지도록 압력 조정기(227)와 밸브(237)를 제어해도 좋다. 이와 같이 조정하는 것에 의해 버퍼 공간(232)의 단부(端部)인 가스 도입구(241)로부터 다른 일방(一方)의 단부인 샤워 헤드 배기구(240)를 향한 가스 흐름이 형성된다. 이와 같이 하는 것에 의해 버퍼 공간(232)의 벽에 부착된 가스나 버퍼 공간(232) 내에 부유한 가스가 처리실(201)에 진입할 일 없이 샤워 헤드 배기관(236)으로부터 배기할 수 있도록 이루어진다. 또한 처리실(201)로부터 버퍼 공간(232) 내로의 가스의 역류를 억제하도록 버퍼 공간(232) 내의 압력과 처리실(201)의 압력(배기 컨덕턴스)을 조정해도 좋다.
또한 제1 퍼지 공정에서는 진공 펌프(223)의 동작을 계속해서 처리실(201) 내에 존재하는 가스를 진공 펌프(223)로부터 배기한다. 또한 처리실(201)로부터 처리실 배기관(224)으로의 배기 컨덕턴스가 버퍼 공간(232)으로의 배기 컨덕턴스보다 높아지도록 압력 조정기(227)와 밸브(237)를 조정해도 좋다. 이와 같이 조정하는 것에 의해 처리실(201)을 경유한 처리실 배기관(224)을 향한 가스 흐름이 형성되어 처리실(201) 내에 잔류하는 가스를 배기할 수 있다.
소정의 시간 경과 후, 불활성 가스의 공급을 정지하는 것과 함께, 밸브(237)를 닫아 버퍼 공간(232)으로부터 샤워 헤드 배기관(236)으로의 유로를 차단한다.
보다 바람직하게는 소정 시간 경과 후, 진공 펌프(223)를 계속해서 작동시키면서 밸브(237)를 닫는 것이 바람직하다. 이와 같이 하면 처리실(201)을 경유한 처리실 배기관(224)을 향한 흐름이 샤워 헤드 배기관(236)의 영향을 받지 않으므로 보다 확실하게 불활성 가스를 기판 상에 공급하는 것이 가능해져 기판 상의 잔류 가스의 제거 효율을 또한 향상시킬 수 있다.
또한 처리실로부터 분위기를 퍼지하는 것은 단순히 진공 흡입해서 가스를 배출하는 것 이외에 불활성 가스의 공급에 의한 가스의 압출 동작도 의미한다. 따라서 제1 퍼지 공정에서 버퍼 공간(232) 내에 불활성 가스를 공급하고, 잔류 가스를 압출하는 것에 의한 배출 동작을 수행하도록 구성해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 조합해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 교호적으로 수행하도록 구성해도 좋다.
또한 이때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 처리실(201)의 용적과 같은 정도의 양을 공급해도 좋다. 이와 같이 퍼지하는 것에 의해 다음 공정으로의 영향을 저감할 수 있다. 또한 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축해서 제조 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이때의 히터(213)의 온도는 웨이퍼(200)로의 원료 가스 공급 시와 마찬가지로 200℃ 내지 750℃, 바람직하게는 300℃ 내지 600℃, 보다 바람직하게는 300℃ 내지 550℃의 범위 내의 일정한 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 20000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
[제2 처리 가스 공급 공정(S205)]
제1 가스 퍼지 공정 후, 가스 도입구(241), 복수의 분산공(234a)을 개재하여 처리실(201) 내에 제2 처리 가스(반응 가스)로서의 질소 함유 가스를 공급한다. 질소 함유 가스는 예컨대 암모니아 가스(NH3)를 이용하는 예를 제시한다. 분산공(234a)을 개재하여 처리실(201)에 공급하므로 기판 상에 균일하게 가스를 공급할 수 있다. 그렇기 때문에 막 두께를 균일하게 할 수 있다. 또한 제2 가스를 공급할 때에 활성화부(여기부)로서의 리모트 플라즈마 유닛(RPU)을 개재하여 활성화시킨 제2 가스를 처리실(201) 내에 공급 가능하도록 구성해도 좋다.
이때 NH3가스의 유량이 소정의 유량이 되도록 매스 플로우 컨트롤러를 조정한다. 또한 NH3가스의 공급 유량은 예컨대 100sccm 이상 10000sccm 이하다. 또한 NH3가스가 RPU 내를 흐를 때는 RPU를 ON상태(전원이 들어간 상태)로 하여 NH3가스를 활성화(여기)시키도록 제어한다.
NH3가스가 웨이퍼(200) 상에 형성되는 실리콘 함유층에 공급되면 실리콘 함유층이 개질된다. 예컨대 실리콘 원소 또는 실리콘 원소를 함유하는 개질층이 형성된다. 또한 RPU를 설치하고, 활성화한 NH3가스를 웨이퍼(200) 상에 공급하는 것에 의해 보다 많은 개질층을 형성할 수 있다.
개질층은 예컨대 처리실(201) 내의 압력, NH3가스의 유량, 웨이퍼(200)의 온도, RPU의 전력 공급 상태에 따라서 소정의 두께, 소정의 분포, 실리콘 함유층에 대한 소정의 질소 성분 등의 침입 깊이로 형성된다.
소정의 시간 경과 후, NH3가스의 공급을 정지한다.
[제2 퍼지 공정(S206)]
NH3가스의 공급을 정지하는 것에 의해 처리실(201) 중에 존재하는 NH3가스나 제2 버퍼 공간(232) 중에 존재하는 NH3가스를 제1 배기부로부터 배기되는 것에 의해 제2 퍼지 공정(S206)이 수행된다. 제2 퍼지 공정(S206)은 전술한 제1 퍼지 공정(S204)과 마찬가지인 공정이 수행된다.
제2 퍼지 공정(S206)에서는 진공 펌프(223)의 동작을 계속해서 처리실(201) 내에 존재하는 가스를 처리실 배기관(224)으로부터 배기한다. 또한 처리실(201)로부터 처리실 배기관(224)으로의 배기 컨덕턴스가 버퍼 공간(232)으로의 배기 컨덕턴스보다 높아지도록 압력 조정기(227)와 밸브(237)를 조정해도 좋다. 이와 같이 조정하는 것에 의해 처리실(201)을 경유한 처리실 배기관(224)을 향한 가스 흐름이 형성되어 처리실(201) 내에 잔류하는 가스를 배기할 수 있다. 또한 여기서 불활성 가스를 공급하는 것에 의해 불활성 가스를 확실하게 기판 상에 공급하는 것이 가능해져 기판 상의 잔류 가스의 제거 효율이 높아진다.
소정의 시간 경과 후, 불활성 가스의 공급을 정지하는 것과 함께, 밸브를 닫아 버퍼 공간(232)과 샤워 헤드 배기관(236) 사이를 차단한다.
보다 바람직하게는 소정 시간 경과 후, 진공 펌프(223)를 계속해서 작동시키면서 밸브(237)를 닫는 것이 바람직하다. 이와 같이 구성하면 처리실(201)을 경유한 샤워 헤드 배기관(236)을 향한 흐름이 처리실 배기관(224)의 영향을 받지 않기 때문에 확실하게 불활성 가스를 기판 상에 공급하는 것이 가능해져 기판 상의 잔류 가스의 제거 효율을 또한 향상시킬 수 있다.
또한 처리실로부터 분위기를 퍼지하는 것은 단순히 진공 흡입해서 가스를 배출하는 것 이외에 불활성 가스의 공급에 의한 가스의 압출 동작도 의미한다. 또한 진공 흡입과 불활성 가스의 공급을 조합해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 교호적으로 수행하도록 구성해도 좋다.
또한 이때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 처리실(201)의 용적과 같은 정도의 양을 공급해도 좋다. 이와 같이 퍼지하는 것에 의해 다음 공정으로의 영향을 저감할 수 있다. 또한 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축해서 제조 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이때의 히터(213)의 온도는 웨이퍼(200)로의 원료 가스 공급 시와 마찬가지로 200℃ 내지 750℃, 바람직하게는 300℃ 내지 600℃, 보다 바람직하게는 300℃ 내지 550℃의 범위 내의 일정한 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 20000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
[판정 공정(S207)]
제2 퍼지 공정(S206)의 종료 후, 컨트롤러(260)는 상기 성막 공정(S301)의 중 부호(S203 내지 S206)가 소정의 사이클 수(數)(n회)가 실행된 것인지 아닌지를 판정한다(n은 자연수). 즉 웨이퍼(200) 상에 원하는 두께의 막이 형성된 것인지 아닌지를 판정한다. 전술한 스텝(S203 내지 S206)을 1사이클로 하여 이 사이클을 적어도 1회 이상 수행하는[스텝(S207)] 것에 의해 웨이퍼(200) 상에 소정 막 두께의 실리콘 및 산소를 포함하는 절연막, 즉 SiO막을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 이에 의해 웨이퍼(200) 상에 소정 막 두께의 SiO막이 형성된다.
소정 횟수 실시되지 않을 때(No판정일 때)는 부호(S203 내지 S206)의 사이클을 반복한다. 소정 횟수 실시되었을 때(Yes판정일 때)는 성막 공정(S301)을 종료하고, 반송 압력 조정 공정(S208)과 기판 반출 공정(S209)을 실행한다.
[반송 압력 조정 공정(S208)]
반송 압력 조정 공정(S208)에서는 처리실(201) 내나 이재실(203)이 소정의 압력(진공도)이 되도록 처리실(201) 내에 불활성 가스를 공급하면서 처리실 배기관(224)을 개재하여 처리실(201) 내를 배기한다. 또한 밸브(228)를 열어 하부 용기 배기구(1481)로부터 이재실(203) 내를 배기한다. 이때 처리실(201) 내나 이재실(203) 내의 압력은 진공 반송실(1400) 내의 압력보다 낮아지도록 조정된다.
[기판 반출 공정(S209)]
반송 압력 조정 공정(S208)에서 처리실(201) 내가 소정 압력이 된 후, 기판 지지부(210)를 승강 기구(218)에 의해 하강시켜, 리프트 핀(207)이 관통공(214)으로부터 기판 지지부(210)의 상면측에 돌출시켜 웨이퍼(200)를 리프트 핀(207)에 올린 상태로 한다. 또한 기판 지지부(210)의 하강 시작 후에 밸브(227)를 닫아 처리실 배기관(224)으로부터의 배기를 정지하고, 가스 도입구(241)로부터 공급되는 불활성 가스가 하부 용기 배기구(1481)에 배기되는 가스 흐름을 형성시킨다. 또한 웨이퍼(200)를 반출하기 전에 웨이퍼(200)의 온도가 소정 온도까지 하강할 때까지 리프트 핀(207)으로 지지한 상태에서 대기(待機)시켜도 좋다. 또한 웨이퍼(200)를 리프트 핀(207) 상에서 냉각시키는 동안은 처리실(201) 내의 압력과 이재실(203) 내의 압력을 진공 반송실(1400) 내의 압력이나 전술한 성막 공정 시의 압력과 같은 정도의 압력까지 상승시켜도 좋다. 압력을 올리는 것에 의해 냉각 시간을 단축시킬 수 있다. 냉각 후, 처리실(201) 내의 압력과 이재실(203) 내의 압력은 진공 반송실(1400) 내의 압력보다 낮아지도록 조압된다.
상기의 가스 흐름이 형성된 후, 진공 반송실(1400)의 제1 가스 공급부(1500)로부터 게이트 밸브(1490)의 개구 부근의 웨이퍼(200)가 통과하는 위치를 향해서 불활성 가스의 공급을 시작시킨다. 불활성 가스의 공급을 시작시킨 후, 게이트 밸브(1490)를 열어 리프트 핀(207) 상의 웨이퍼(200)를 진공 반송실(1400)에 반송시킨다. 웨이퍼(200)가 진공 반송실(1400)에 들어간 후, 게이트 밸브(1490)를 닫는 것과 함께, APC(1620)를 열어 진공 반송실(1400) 내의 분위기를 가스 배기부(1600)로부터 배기시킨다. 이와 같이 하는 것에 의해 예컨대 진공 반송실(1400) 내의 분위기에 이물이 혼입해도 처리실(201)을 개재하지 않고 배기할 수 있다. 즉 처리실(201) 내로의 이물 진입을 억제할 수 있다.
또한 기판 지지부(210)를 도 11의 파선으로 기재한 반송 위치에 이동시키기 전에 이하의 동작을 수행하도록 구성해도 좋다. 가스 도입공(241)으로부터 이재실(203)을 개재하여 하부 용기 배기구(1481)에 불활성 가스를 공급하고, 처리실(201)의 압력>진공 반송실(1400)의 압력>이재실(203)의 압력의 관계가 되도록 처리실(201), 진공 반송실(1400) 및 이재실(203)의 압력 조정을 수행한다. 압력 조정 후, 제1 가스 공급부(1500)로부터 게이트 밸브(1490) 개구 부근의 웨이퍼(200)가 통과하는 위치에 불활성 가스를 공급한다. 불활성 가스의 공급 시작 후, 게이트 밸브(1490)를 열어 게이트 밸브(1490)로부터 제1 가스 공급부(1500)로부터 공급되는 불활성 가스가 하부 용기 배기구(1481)로의 가스 흐름을 형성한다. 그 후, 기판 지지부(210)를 반송 위치에 반송시킨다. 기판 지지부(210)를 반송 위치에 반송 후, 진공 반송 로봇(1700)으로 웨이퍼(200)를 진공 반송실(1400)에 반송시킨다. 이와 같은 동작을 수행하는 것에 의해 이재실(203) 내와 처리실(201) 내에 존재하는 가스가 진공 반송실(1400)에 유입되는 것을 억제할 수 있다. 또한 이와 같이 단계적으로 각 실을 접속시키는 것에 의해 각 실의 압력 차이에 의한 가스 확산을 억제할 수 있다.
이와 같은 공정에서 웨이퍼(200)로의 처리가 수행된다.
(3) 본 실시예에 따른 효과
본 실시예에 따르면 이하(A) 내지 (D)의 어느 하나 이상의 효과가 있다.
(A) 제1 가스 공급부(1500)를 설치하고, 게이트 밸브(GV)의 개구의 부근의 웨이퍼(200)가 통과하는 위치에 불활성 가스를 공급하고, 하부 용기 배기구(1481)로부터 불활성 가스를 배기하는 것에 의해 챔버(100) 내에 존재하는 부생성물이나 파티클이 진공 반송실(1400)에 유입되는 것을 억제할 수 있다.
(B) 또한 하나의 챔버의 분위기나 파티클이 다른 챔버에 인입(引入)되는 것을 억제시킬 수 있다.
(C) 아암에 설치되는 2개의 엔드이펙터의 높이가 다른 경우에 제1 가스 공급부(1500)에 설치되는 2개의 가스 공급공에 공급하는 불활성 가스의 유량을 다르게 하는 것에 의해 챔버 간의 가스 이동(가스 확산)을 억제시킬 수 있다.
(D) 제1 가스 공급공(1460)의 하단과 웨이퍼(200)의 표면 사이의 거리D와 제1 가스 공급공(1460)의 하단과 웨이퍼(200)가 대향하는 면의 웨이퍼(200)의 경방향의 길이L과의 관계를 D<L로 하는 것에 의해 웨이퍼(200)의 표면에 부착되는 처리 가스, 반응 가스, 부생성물, 파티클의 어느 하나 또는 2개 이상을 탈리시켜서 진공 반송실(1400)로의 진입을 억제시킬 수 있다.
(E) 제1 가스 공급공(1460)과 상측 아암(1800)이 보지하는 웨이퍼(200)와의 거리(D1)이 제1 가스 공급공(1460)과 하측 아암(1900)이 보지하는 웨이퍼(200)와의 거리(D2)보다 짧은 경우에, 불활성 가스의 공급량을 D1일 때보다 D2일 때에 많게 하는 것에 의해 챔버(100) 내의 분위기가 진공 반송실(1400) 내에 유입되는 것을 억제시킬 수 있다.
<다른 실시예>
도 16 및 도 17에 다른 실시예를 도시한다. 도 16 및 도 17에서는 제1 가스 공급부(1500)의 가스 공급공(1460a, 1460b)의 사이에 제2 가스 공급부(1462a)가 설치된다. 이 제2 가스 공급부(1462a)에 의해 챔버(100a)와 챔버(100b) 사이의 가스 이동(가스 확산)을 억제할 수 있다.
또한 바람직하게는 제2 가스 공급부(1462a)의 가스 공급공(1463a)은 제1 가스 공급부(1500)의 제1 가스 공급공(1460)보다 반송실(1400)의 중앙을 향해서 돌출하도록 구성된다. 이와 같이 구성하는 것에 의해 챔버(100a)와 챔버(100b) 사이의 가스 이동을 억제시킬 수 있다.
또한 바람직하게는 각 가스 공급공으로부터 공급되는 불활성 가스의 유량은 가스 공급공(1463a)을 통해 공급되는 불활성 가스의 유량>가스 공급공(1460a)을 통해 공급되는 불활성 가스의 유량≒가스 공급공(1460b)을 통해 공급되는 불활성 가스의 유량으로 하는 것이 바람직하다. 전술한 바와 같이 2개의 엔드이펙터의 높이가 다른 경우에는 가스 공급공(1463a)을 통해 공급되는 불활성 가스의 유량>가스 공급공(1460b)을 통해 공급되는 불활성 가스의 유량>가스 공급공(1460a)을 통해 공급되는 불활성 가스의 유량으로 되도록 구성한다. 이와 같은 가스 유량의 관계로 구성하는 것에 의해 챔버(100a)와 챔버(100b) 사이의 가스 이동이나 챔버(100)로부터 반송실(1400)로의 가스 이동을 억제시킬 수 있다.
또한 제2 가스 공급부(1462a)에 가스 공급공(1463a)을 설치하지 않고, 가스 가이드로 해도 좋다.
또한 전술에서는 진공 반송실과 이재실 사이에서의 기판 반송에 대해서 기재했지만 로드록 실(1300)과 진공 반송실(1400) 사이에서의 기판 반송이어도 좋고, 로드록 실과 대기 반송실(1200) 사이에서의 기판 반송이어도 좋다. 또한 진공 반송실(1400) 또는 로드록 실(1300)이 없고, 대기 반송실(1200)로부터 이재실에 직접 반송하도록 구성된 기판 처리 시스템이어도 마찬가지인 효과를 가질 수 있다. 전술한 바와 같이 진공 분위기 하에서 반송하는 것에 의해 파티클이나 각 챔버의 분위기의 확산을 억제할 수 있다.
또한 전술에서는 원료 가스와 반응 가스를 교호적으로 공급해서 성막하는 방법에 의해 기재했지만 원료 가스와 반응 가스의 기상 반응량이나 부생성물의 발생량이 허용 범위 내이면, 다른 방법에도 적용 가능하다. 예컨대 원료 가스와 반응 가스의 공급 타이밍이 중첩되는 방법이다.
또한 전술에서는 성막 처리에 의해 기재했지만 다른 처리에도 적용 가능하다. 예컨대 확산 처리, 산화 처리, 질화 처리, 산질화 처리, 환원 처리, 산화 환원 처리, 에칭 처리, 가열 처리 등이 있다. 예컨대 반응 가스만을 이용해서 기판 표면이나 기판에 형성된 막을 플라즈마 산화 처리나 플라즈마 질화 처리할 때에도 본 발명을 적용할 수 있다. 또한 반응 가스만을 이용한 플라즈마 어닐링 처리에도 적용할 수 있다.
또한 전술에서는 반도체 장치의 제조 공정에 대해서 기재했지만 실시예에 따른 발명은 반도체 장치의 제조 공정 이외에도 적용 가능하다. 예컨대 액정 디바이스의 제조 공정, 태양 전지의 제조 공정, 발광 디바이스의 제조 공정, 유리 기판의 처리 공정, 세라믹 기판의 처리 공정, 도전성 기판의 처리 공정 등의 기판 처리가 있다.
또한 전술에서는 원료 가스로서 실리콘 함유 가스, 반응 가스로서 질소 함유 가스를 이용해서 실리콘 질화막을 형성하는 예를 제시했지만 다른 가스를 이용한 성막에도 적용 가능하다. 예컨대 산소 함유막, 질소 함유막, 탄소 함유막, 붕소 함유막, 금속 함유막과 이들의 원소가 복수 함유한 막 등이 있다. 또한 이들의 막으로서는 예컨대 SiN막, AlO막, ZrO막, HfO막, HfAlO막, ZrAlO막, SiC막, SiCN막, SiBN막, TiN막, TiC막, TiAlC막 등이 있다.
또한 프로세스 모듈 내에 설치되는 챔버는 하나이어도 복수이어도 개의치 않다. 프로세스 모듈 내에 복수의 챔버가 설치된 경우, 프로세스 모듈의 열용량이 커지기 때문에 하나 이상의 프로세스 모듈을 유지 관리하는 경우의 영향이 크게 된다.
또한 전술에서는 하나의 처리실에서 1매의 기판을 처리하는 장치구성을 도시했지만 이에 한정되지 않고, 복수 매의 기판을 수평 방향 또는 수직 방향으로 배열한 장치여도 좋다.
100: 챔버 110: 프로세스 모듈
200: 웨이퍼(기판) 201: 처리실(처리 공간)
202: 처리 용기 203: 이재실
212: 기판 재치대 232: 버퍼 공간
234: 샤워 헤드 1000: 기판 처리 시스템
1400: 진공 반송실

Claims (16)

  1. 기판을 처리하는 복수의 처리실;
    상기 기판을 반송하는 진공 반송실;
    상기 진공 반송실과 상기 처리실 사이에 설치되고, 상기 처리실에 각각 대응하는 복수의 이재실;
    상기 진공 반송실과 상기 이재실 사이에 설치된 복수의 게이트 밸브;
    상기 진공 반송실에 설치되고, 상기 복수의 게이트 밸브를 통과하는 위치의 기판에 대하여 불활성 가스를 각각 공급하는 복수의 제1 가스 공급부;
    상기 제1 가스 공급부의 주변에 설치되고, 상기 제1 가스 공급부로부터 상기 기판에 불활성 가스를 공급하는 제1 가스 공급공을 형성하는 제1 가스 가이드;
    상기 진공 반송실 내에 설치되고, 상기 기판을 상기 이재실에 반송하는 반송 로봇; 및
    상기 복수의 제1 가스 공급부와 상기 반송 로봇을 제어하는 제어부;
    를 포함하고,
    상기 제1 가스 가이드의 하단의 상기 기판과 대향하는 면의 상기 기판의 지름 방향의 길이가, 상기 제1 가스 공급공의 하단과 상기 기판의 거리보다 길어지도록 구성되고,
    상기 복수의 처리실 내, 적어도 2개의 처리실은 인접하도록 연결되고,
    상기 인접하는 처리실에 대응하는 상기 제1 가스 공급부 사이에서 상기 반송 로봇을 향하여 돌출하는 제2 가스 가이드를 더 포함하는 기판 처리 장치.
  2. 기판을 처리하는 복수의 처리실;
    상기 기판을 반송하는 진공 반송실;
    상기 진공 반송실과 상기 처리실 사이에 설치되고, 상기 처리실에 각각 대응하는 복수의 이재실;
    상기 진공 반송실과 상기 이재실 사이에 설치된 복수의 게이트 밸브;
    상기 진공 반송실에 설치되고, 상기 복수의 게이트 밸브를 통과하는 위치의 기판에 대하여 불활성 가스를 각각 공급하는 복수의 제1 가스 공급부;
    상기 제1 가스 공급부의 주변에 설치되고, 상기 제1 가스 공급부로부터 상기 기판에 불활성 가스를 공급하는 제1 가스 공급공을 형성하는 제1 가스 가이드;
    상기 진공 반송실 내에 설치되고, 상기 기판을 상기 이재실에 반송하는 반송 로봇;
    상기 복수의 제1 가스 공급부 내, 적어도 2개의 제1 가스 공급부 사이에, 상기 제1 가스 가이드보다 상기 반송 로봇측에 돌출된 제2 가스 가이드; 및
    상기 복수의 제1 가스 공급부와 상기 반송 로봇을 제어하는 제어부;
    를 포함하고,
    상기 제1 가스 가이드의 하단의 상기 기판과 대향하는 면의 상기 기판의 지름 방향의 길이가, 상기 제1 가스 공급공의 하단과 상기 기판의 거리보다 길어지도록 구성되는 기판 처리 장치.
  3. 삭제
  4. 제1항 또는 제2항에 있어서,
    상기 반송 로봇은 제1 높이로 상기 기판을 반송하는 제1 암과 제2 높이로 기판을 반송하는 제2 암을 포함하는 기판 처리 장치.
  5. 삭제
  6. 제1항에 있어서,
    상기 제1 가스 공급공의 하단은 상기 게이트 밸브의 개구(開口) 상단의 높이로 구성되는 기판 처리 장치.
  7. 제2항에 있어서,
    상기 제1 가스 공급공의 하단은 상기 게이트 밸브의 개구 상단의 높이로 구성되는 기판 처리 장치.
  8. 제4항에 있어서,
    상기 제1 가스 공급공의 하단은 상기 게이트 밸브의 개구 상단의 높이로 구성되는 기판 처리 장치.
  9. 제1항에 있어서,
    상기 진공 반송실 내이며, 상기 제1 가스 공급공과 대향하여 상기 게이트 밸브 개구의 하단의 높이 위치에 제3 가스 가이드가 설치되는 기판 처리 장치.
  10. 제2항에 있어서,
    상기 진공 반송실 내이며, 상기 제1 가스 공급공과 대향하여 상기 게이트 밸브 개구의 하단의 높이 위치에 제3 가스 가이드가 설치되는 기판 처리 장치.
  11. 삭제
  12. 제4항에 있어서,
    상기 진공 반송실 내이며, 상기 제1 가스 공급공과 대향하여 상기 게이트 밸브 개구의 하단의 높이 위치에 제3 가스 가이드가 설치되는 기판 처리 장치.
  13. 제6항에 있어서,
    상기 진공 반송실 내이며, 상기 제1 가스 공급공과 대향하여 상기 게이트 밸브 개구의 하단의 높이 위치에 제3 가스 가이드가 설치되는 기판 처리 장치.
  14. 제4항에 있어서,
    상기 제1 가스 공급공의 하단과 상기 제1 암이 보지(保持)하는 기판 사이의 제1 거리는,
    상기 제1 가스 공급공의 하단과 상기 제2 암이 보지하는 기판 사이의 제2 거리보다 짧게 구성되고,
    상기 제1 거리와 상기 제2 거리의 양방(兩方)은 상기 제1 가스 가이드의 하단의 상기 기판과 대향하는 면의 상기 기판의 지름 방향의 길이보다 짧아지도록 구성되는 기판 처리 장치.
  15. 제1항에 있어서,
    상기 제2 가스 가이드는 상기 진공 반송실의 저면(底面)을 향하여 불활성 가스를 공급하는 제2 가스 공급공을 포함하는 기판 처리 장치.
  16. 제2항에 있어서,
    상기 제2 가스 가이드는 상기 진공 반송실의 저면을 향하여 불활성 가스를 공급하는 제2 가스 공급공을 포함하는 기판 처리 장치.
KR1020180155656A 2016-03-02 2018-12-06 기판 처리 장치 KR102035294B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016040011A JP6240695B2 (ja) 2016-03-02 2016-03-02 基板処理装置、半導体装置の製造方法及びプログラム
JPJP-P-2016-040011 2016-03-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170025183A Division KR101929857B1 (ko) 2016-03-02 2017-02-27 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Publications (2)

Publication Number Publication Date
KR20180133356A KR20180133356A (ko) 2018-12-14
KR102035294B1 true KR102035294B1 (ko) 2019-11-08

Family

ID=59723257

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170025183A KR101929857B1 (ko) 2016-03-02 2017-02-27 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020180155656A KR102035294B1 (ko) 2016-03-02 2018-12-06 기판 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170025183A KR101929857B1 (ko) 2016-03-02 2017-02-27 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (5)

Country Link
US (2) US10184177B2 (ko)
JP (1) JP6240695B2 (ko)
KR (2) KR101929857B1 (ko)
CN (1) CN107154370B (ko)
TW (1) TWI633578B (ko)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
JP6613276B2 (ja) * 2017-09-22 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、記録媒体および基板処理装置
CN109560010B (zh) * 2017-09-26 2022-12-16 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
JP6951923B2 (ja) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
CN109994358B (zh) * 2017-12-29 2021-04-27 中微半导体设备(上海)股份有限公司 一种等离子处理系统和等离子处理系统的运行方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
CN108364862B (zh) * 2018-02-23 2021-05-14 京东方科技集团股份有限公司 一种离子注入设备及其离子注入方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) * 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN110923659B (zh) * 2018-09-20 2022-07-08 东京毅力科创株式会社 成膜方法及基板处理系统
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
TWI762068B (zh) * 2020-12-07 2022-04-21 創意電子股份有限公司 測試設備、其元件搬運裝置及測試設備之測試方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2022119452A (ja) * 2021-02-04 2022-08-17 住友重機械工業株式会社 処理装置
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
US20220344190A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Air curtain for defect reduction
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP7357660B2 (ja) * 2021-07-09 2023-10-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291758A (ja) 2000-11-27 2001-10-19 Tokyo Electron Ltd 真空処理装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5433780A (en) * 1992-11-20 1995-07-18 Tokyo Electron Limited Vacuum processing apparatus and exhaust system that prevents particle contamination
JP3644036B2 (ja) * 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
KR100462237B1 (ko) 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
JP2003007799A (ja) 2001-06-21 2003-01-10 Tokyo Electron Ltd 処理システム
JP3955592B2 (ja) 2004-11-19 2007-08-08 住友重機械工業株式会社 処理装置及び処理方法
JP4584821B2 (ja) 2005-12-14 2010-11-24 東京エレクトロン株式会社 真空処理装置及び帯状気流形成装置
US8794896B2 (en) * 2005-12-14 2014-08-05 Tokyo Electron Limited Vacuum processing apparatus and zonal airflow generating unit
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
WO2007101228A2 (en) * 2006-02-27 2007-09-07 Blueshift Technologies, Inc. Semiconductor wafer handling and transport
US20080230096A1 (en) * 2007-03-22 2008-09-25 Tokyo Electron Limited Substrate cleaning device and substrate processing apparatus
JP4985031B2 (ja) * 2007-03-29 2012-07-25 東京エレクトロン株式会社 真空処理装置、真空処理装置の運転方法及び記憶媒体
US20100236478A1 (en) 2007-09-03 2010-09-23 Tokyo Electron Limited Vacuum processing system
JP5028193B2 (ja) * 2007-09-05 2012-09-19 株式会社日立ハイテクノロジーズ 半導体製造装置における被処理体の搬送方法
JP2009135433A (ja) 2007-11-05 2009-06-18 Hitachi Kokusai Electric Inc 基板処理装置
US20090114346A1 (en) 2007-11-05 2009-05-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5575507B2 (ja) 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP2011054998A (ja) 2010-11-30 2011-03-17 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
JP2013236033A (ja) * 2012-05-11 2013-11-21 Hitachi High-Technologies Corp 真空処理装置及び試料の搬送方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6245643B2 (ja) * 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
CN104674190B (zh) * 2013-11-28 2017-10-20 中微半导体设备(上海)有限公司 一种半导体处理装置及应用于半导体处理装置的气体分布板
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291758A (ja) 2000-11-27 2001-10-19 Tokyo Electron Ltd 真空処理装置

Also Published As

Publication number Publication date
KR20170102812A (ko) 2017-09-12
KR101929857B1 (ko) 2019-03-14
US10184177B2 (en) 2019-01-22
JP6240695B2 (ja) 2017-11-29
TWI633578B (zh) 2018-08-21
JP2017157705A (ja) 2017-09-07
TW201810362A (zh) 2018-03-16
KR20180133356A (ko) 2018-12-14
US10914005B2 (en) 2021-02-09
CN107154370B (zh) 2021-03-23
US20190032213A1 (en) 2019-01-31
US20170253968A1 (en) 2017-09-07
CN107154370A (zh) 2017-09-12

Similar Documents

Publication Publication Date Title
KR102035294B1 (ko) 기판 처리 장치
KR101786878B1 (ko) 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체
KR101882773B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101796542B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101880516B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101848370B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
JP2013084898A (ja) 半導体装置の製造方法及び基板処理装置
CN110429049B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
JP2019169663A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
KR102356863B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP7191910B2 (ja) 基板処理システム、半導体装置の製造方法及びプログラム
KR20230042575A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant