KR102356863B1 - 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR102356863B1
KR102356863B1 KR1020190113336A KR20190113336A KR102356863B1 KR 102356863 B1 KR102356863 B1 KR 102356863B1 KR 1020190113336 A KR1020190113336 A KR 1020190113336A KR 20190113336 A KR20190113336 A KR 20190113336A KR 102356863 B1 KR102356863 B1 KR 102356863B1
Authority
KR
South Korea
Prior art keywords
processing
substrate processing
substrate
maintenance
reservation information
Prior art date
Application number
KR1020190113336A
Other languages
English (en)
Other versions
KR20210011861A (ko
Inventor
야스히로 미즈구치
나오후미 오하시
타다시 타카사키
슌 마츠이
šœ 마츠이
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20210011861A publication Critical patent/KR20210011861A/ko
Application granted granted Critical
Publication of KR102356863B1 publication Critical patent/KR102356863B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

메인터넌스 처리를 수행하는 타이밍의 적정화를 도모할 수 있는 기술을 제공한다.
복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 공정; 상기 처리실의 메인터넌스 예약 정보를 접수하는 공정; 및 상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 미처리 기판의 매수에 따라 상기 처리실에서 계속해서 수행하는 기판 처리의 처리 매수를 결정하고, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 공정을 포함하는 기술이 제공된다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 개시(開示)는 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 제조 공정에서는 기판 처리 프로세스의 효율화를 도모하기 위해, 복수의 기판을 하나의 단위(로트)로서 취급할 것이 있다. 기판 처리 프로세스를 실행하는 기판 처리 장치에 대해서는 그 기판 처리 프로세스를 수행하는 처리 공간(처리실)에 대하여 스루풋을 손상하지 않으면서 메인터넌스 처리를 수행하는 기술이 알려져 있다(예컨대 특허문헌 1 참조).
1. 특허 제6159536호 공보
복수의 기판을 하나의 로트로서 취급하는 경우, 메인터넌스 처리를 수행하는 타이밍에 따라서는 각 기판의 처리 품질이 문제가 될 수 있다. 예컨대 어떤 로트에 대한 처리 도중에 메인터넌스 처리를 개재하면 메인터넌스 처리의 전후에서 처리 조건이 바뀌고, 동일 로트 내에서 처리 품질에 편차가 발생할 우려가 있다. 또한 예컨대 메인터넌스 처리의 실행을 너무 미루면, 적절한 타이밍을 놓쳐 기판의 처리 품질에 악영향이 미칠 우려가 있다. 또한 예컨대 어떤 타이밍으로 메인터넌스 처리를 수행할지의 판단을 보수 작업자 등에게 맡기면 그 보수 작업자 등의 부담이 커지고, 게다가 적절하게 판단할 수 있다는 보장도 없다.
본 개시는 메인터넌스 처리를 수행하는 타이밍의 적정화를 도모할 수 있는 기술을 제공한다.
일 형태에 따르면, 복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 공정; 상기 처리실의 메인터넌스 예약 정보를 접수하는 공정; 및 상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 미처리 기판의 매수에 따라 상기 처리실에서 계속해서 수행하는 기판 처리의 처리 매수를 결정하고, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 공정을 포함하는 기술이 제공된다.
본 개시에 따른 기술에 따르면, 메인터넌스 처리를 수행하는 타이밍의 적정화를 도모할 수 있다.
도 1은 일 실시 형태에 따른 기판 처리 장치의 시스템 전체의 횡단면(橫斷面) 개략도.
도 2는 일 실시 형태에 따른 기판 처리 장치의 개략 구성도.
도 3은 일 실시 형태에 따른 컨트롤러의 개략 구성도.
도 4는 일 실시 형태에 따른 기판 처리 공정의 개요의 흐름도.
도 5는 복수 기판에 대하여 순서대로 기판 처리를 수행하는 경우의 순서의 개요의 흐름도.
도 6은 일 실시 형태에 따른 컨트롤러에 의한 기능 구성예의 블록도.
도 7은 일 실시 형태에 따른 메인터넌스 타이밍 제어 처리의 일례의 흐름도.
<일 실시 형태>
이하, 본 개시의 일 실시 형태에 대하여 도면을 참조하면서 설명한다.
이하의 실시 형태에서 예로 드는 기판 처리 장치는 반도체 장치의 제조 공정에서 이용되는 것으로, 처리 대상이 되는 기판에 대하여 소정의 처리를 수행하도록 구성된 것이다. 처리 대상이 되는 기판으로서는 예컨대 반도체 집적 회로 장치(반도체 디바이스)가 제작되는 반도체 웨이퍼 기판(이하, 단순히 「웨이퍼」라고 부른다.)을 들 수 있다. 또한 본 명세서에서 「웨이퍼」라는 단어를 사용한 경우는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 부르는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 사용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다. 본 명세서에서 「기판」이라는 단어를 사용한 경우도 「웨이퍼」라는 단어를 사용한 경우와 같은 의미다. 또한 웨이퍼에 대하여 수행하는 처리로서는 예컨대 산화 처리, 확산 처리, 이온 주입의 캐리어 활성화나 평탄화를 위한 리플로우나 어닐링, 성막 처리 등이 있다. 본 실시 형태에서는 특히 성막 처리를 수행하는 경우를 예에 든다.
(1) 시스템 전체의 구성
우선 일 실시 형태에 따른 기판 처리 장치의 시스템 전체의 구성예에 대해서 설명한다. 도 1은 본 실시 형태에 따른 기판 처리 장치의 시스템 전체의 횡단면 개략도이다.
도 1에 도시하는 바와 같이 본 개시가 적용되는 기판 처리 장치의 시스템 전체(이하, 단순히 「기판 처리 시스템」이라고 부른다.)(2000)는 기판으로서의 웨이퍼(200)를 처리하는 것으로, 복수 대(臺)의 기판 처리 장치(100)를 포함하여 구성된, 소위 클러스터형이다. 더욱 구체적으로는 클러스터형의 기판 처리 시스템(2000)은 IO 스테이지(2100), 대기 반송실(2200), 로드록(L/L) 실(2300), 진공 반송실(2400) 및 복수 대의 기판 처리 장치[100(100a, 100b, 100c, 100d)]를 구비하여 구성된다. 또한 도면 중에서 전후좌우는 X1 방향이 오른쪽, X2 방향이 왼쪽, Y1 방향이 앞, Y2 방향이 뒤로 한다.
기판 처리 시스템(2000)의 바로 앞에는 IO 스테이지(로드 포트)(2100)가 설치된다. IO 스테이지(2100) 상에는 후프(FOUP: Front Open Unified Pod)라고 불리는 격납 용기(이하, 단순히 「포드」라고 부른다.)(2001)가 복수 탑재된다. 포드(2001)는 웨이퍼(200)를 반송하는 캐리어로서 이용되고, 그 내부에 미처리 웨이퍼(200) 또는 처리 완료된 웨이퍼(200)가 각각 수평 자세로 복수 매 격납되도록 구성된다.
IO 스테이지(2100)는 대기 반송실(2200)에 인접한다. 대기 반송실(2200) 내에는 웨이퍼(200)를 이재하는 제1 반송 로봇으로서의 대기 반송 로봇(2220)이 설치된다. 대기 반송실(2200)에는 IO 스테이지(2100)와는 다른 측에 로드록실(2300)이 연결된다.
로드록실(2300)은 그 내부의 압력이 대기 반송실(2200)의 압력과 후술하는 진공 반송실(2400)의 압력에 맞춰서 변동되도록 이루어지고, 이를 위해서 부압(負壓)에 견딜 수 있는 구조로 구성된다. 로드록실(2300)에는 대기 반송실(2200)과는 다른 측에 진공 반송실(트랜스퍼 모듈: TM)(2400)이 연결된다.
TM(2400)은 부압 하에서 웨이퍼(200)가 반송되는 반송 공간이 되는 반송실로서 기능한다. TM(2400)을 구성하는 광체(筐體)(2410)는 평면시가 오각형으로 형성되고, 오각형의 각 변(邊) 중, 로드록실(2300)이 연결되는 변을 제외한 각 변에 웨이퍼(200)를 처리하는 기판 처리 장치(100)가 복수 대(예컨대 4대) 연결된다. TM(2400)의 대략 중앙부에는 부압 하에서 웨이퍼(200)를 이재(반송)하는 제2 반송 로봇으로서의 진공 반송 로봇(2700)이 설치된다. 또한 여기서는 진공 반송실(2400)을 오각형의 예를 제시했지만, 사각형이나 육각형 등의 다각형이어도 좋다.
TM(2400) 내에 설치되는 진공 반송 로봇(2700)은 독립해서 동작이 가능한 2개의 암(2800, 2900)을 포함한다. 진공 반송 로봇(2700)은 후술하는 컨트롤러(260)에 의해 제어된다.
TM(2400)과 각 기판 처리 장치(100) 사이에는 게이트 밸브(GV)(1490)가 설치된다. 구체적으로는 기판 처리 장치(100a)와 TM(2400) 사이에는 게이트 밸브(1490a)가 설치되고, 기판 처리 장치(100b)와의 사이에는 GV(1490b)이 설치된다. 기판 처리 장치(100c)와의 사이에는 GV(1490c), 기판 처리 장치(100d)와의 사이에는 GV(1490d)이 설치된다. 각 GV(1490)의 개방에 의해 TM(2400) 내의 진공 반송 로봇(2700)은 각 기판 처리 장치(100)에 설치된 기판 반입출구(1480)를 개재한 웨이퍼(200)의 출입을 수행하는 것이 가능해진다.
(2) 기판 처리 장치의 구성
다음으로 전술한 기판 처리 시스템(2000)에서 이용되는 기판 처리 장치[100(100a, 100b, 100c, 100d)]에 대해서 설명한다. 또한 기판 처리 장치(100a) 내지 기판 처리 장치(100d)는 마찬가지의 구성이므로, 이하의 설명에서는 이것들을 기판 처리 장치(100)라고 총칭한다.
기판 처리 장치(100)는 반도체 장치의 제조 공정의 일 공정인 성막 처리를 수행할 때 이용되는 것으로, 예컨대 매엽식(枚葉式) 기판 처리 장치로서 구성된다. 도 2는 본 실시 형태에 따른 기판 처리 장치의 개략 구성도다.
(처리 용기)
도 2에 도시하는 바와 같이 기판 처리 장치(100)는 처리 용기(202)를 구비한다. 처리 용기(202)는 예컨대 알루미늄(Al)이나 스텐레스(SUS) 등의 금속 재료 또는 석영에 의해 횡단면이 원형이며 편평한 밀폐 용기로서 구성된다. 또한 처리 용기(202)는 상부 용기(202a)와 하부 용기(202b)를 구비하고, 이것들 사이에 경계부(204)가 설치된다. 경계부(204)보다 상방(上方)의 상부 용기(202a)에 둘러싸인 공간은 성막 처리의 처리 대상이 되는 웨이퍼(200)를 처리하는 처리 공간(「처리실」이라고도 부른다.)(201)으로서 기능한다. 한편, 경계부(204)보다 하방(下方)의 공간의 하부 용기(202b)에 둘러싸인 공간은 웨이퍼(200)를 이재하기 위한 반송 공간(「이재실」이라고도 부른다.)(203)으로서 기능한다. 이재실(203)로서 기능하기 위해서 하부 용기(202b)의 측면에는 게이트 밸브(1490)에 인접한 기판 반입출구(1480)가 설치되고, 그 기판 반입출구(1480)를 개재하여 웨이퍼(200)가 TM(2400) 사이를 이동하도록 이루어진다. 하부 용기(202b)의 저부에는 리프트 핀(207)이 복수 설치된다. 또한 하부 용기(202b)는 접지(接地)된다.
(기판 지지부)
처리실(201) 내에는 웨이퍼(200)를 지지하는 기판 지지부(서셉터)(210)가 설치된다. 서셉터(210)는 웨이퍼(200)를 재치하는 재치면(211)을 포함하는 기판 재치대(212)를 구비한다. 기판 재치대(212)는 적어도 재치면(211) 상의 웨이퍼(200)의 온도를 조정(가열 또는 냉각)하는 히터(213a, 213b)를 내장한다. 히터(213a, 213b)에는 각각으로의 공급 전력을 조정하는 온도 조정부(213c, 213d)가 개별로 접속된다. 각 온도 조정부(213c, 213d)는 후술하는 컨트롤러(260)로부터의 지시에 따라 각각이 독립해서 제어된다. 이에 의해 히터(213a, 213b)는 재치면(211) 상의 웨이퍼(200)에 대하여 각 영역별로 독자적인 온도 조정을 수행하는 존 제어가 가능해지도록 구성된다. 또한 기판 재치대(212)에는 리프트 핀(207)이 관통하는 관통공(214)이 리프트 핀(207)과 대응하는 위치에 각각 설치된다.
기판 재치대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는 처리 용기(202)의 저부(底部)를 관통하고, 또한 처리 용기(202)의 외부에서 승강 기구(218)에 접속된다. 그리고 승강 기구(218)를 작동시키는 것에 의해 기판 재치대(212)를 승강시키는 것이 가능하도록 구성된다. 샤프트(217) 하단부의 주위는 벨로즈(219)에 의해 피복되고, 처리실(201) 내는 기밀하게 보지(保持)된다.
기판 재치대(212)는 웨이퍼(200) 반송 시에는 기판 재치면(211)이 기판 반입출구(1480)의 위치(웨이퍼 반송 위치)가 되도록 하강하고, 웨이퍼(200) 처리 시에는 웨이퍼(200)가 처리실(201) 내의 처리 위치(웨이퍼 처리 위치)까지 상승한다. 구체적으로는 기판 재치대(212)를 웨이퍼 반송 위치까지 하강시켰을 때는 리프트 핀(207)의 상단부가 기판 재치면(211)의 상면으로부터 돌출하여 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 기판 재치대(212)를 웨이퍼 처리 위치까지 상승시켰을 때는 리프트 핀(207)은 기판 재치면(211)의 상면으로부터 매몰하여 기판 재치면(211)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 리프트 핀(207)은 웨이퍼(200)와 직접 접촉하기 때문에 예컨대 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다.
(가스 도입구)
처리실(201)의 상부에는 처리실(201) 내에 각종 가스를 공급하기 위한 가스 도입구(241)가 설치된다. 가스 도입구(241)에 접속되는 가스 공급 유닛의 구성에 대해서는 후술한다.
가스 도입구(241)에 연통하는 처리실(201) 내에는 가스 도입구(241)로부터 공급되는 가스를 분산시켜서 처리실(201) 내에 균등하게 확산시키기 위해서 분산판(234b)을 포함하는 샤워 헤드(버퍼실)(234)가 배치되는 것이 바람직하다.
분산판(234b)의 지지 부재(231b)에는 정합기(251)와 고주파 전원(252)이 접속되고, 전자파(고주파 전력이나 마이크로파)가 공급 가능하도록 구성된다. 이에 의해 분산판(234b)을 통해서 처리실(201) 내에 공급되는 가스를 여기(勵起)하여 플라즈마화할 수 있도록 이루어진다. 즉 분산판(234b), 지지 부재(231b), 정합기(251) 및 고주파 전원(252)은 후술하는 제1 처리 가스 및 제2 처리 가스를 플라즈마화하는 것이며, 플라즈마화한 가스를 공급하는 제1 가스 공급부(상세는 후술)의 일부 및 제2 가스 공급부(상세는 후술)의 일부로서 기능한다.
(가스 공급부)
가스 도입구(241)에는 공통 가스 공급관(242)이 접속된다. 공통 가스 공급관(242)에는 제1 가스 공급관(243a), 제2 가스 공급관(244a), 제3 가스 공급관(245a)이 접속된다. 제1 가스 공급관(243a)을 포함하는 제1 가스 공급부(243)로부터는 제1 처리 가스(상세는 후술)가 주로 공급되고, 제2 가스 공급관(244a)을 포함하는 제2 가스 공급부(244)로부터는 제2 처리 가스(상세는 후술)가 주로 공급된다. 제3 가스 공급관(245a)을 포함하는 제3 가스 공급부(245)로부터는 주로 퍼지 가스가 공급된다.
(제1 가스 공급부)
제1 가스 공급관(243a)에는 상류 방향부터 순서대로 제1 가스 공급원(243b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(243c) 및 개폐 밸브인 밸브(243d)가 설치된다. 그리고 제1 가스 공급원(243b)으로부터 제1 원소를 함유하는 가스(제1 처리 가스)가 MFC(243c), 밸브(243d), 제1 가스 공급관(243a), 공통 가스 공급관(242)을 개재하여 처리실(201)에 공급된다.
제1 처리 가스는 예컨대 실리콘(Si) 원소를 포함하는 가스다. 구체적으로는 디클로로실란(SiH2Cl2, dichlorosilane: DCS) 가스나 테트라에톡시실란[Si(OC2H5)4, Tetraethoxysilane: TEOS] 가스 등이 이용된다. 이하의 설명에서는 DCS 가스를 이용한 예에 대해서 설명한다.
제1 가스 공급관(243a)의 밸브(243d)보다 하류측에는 제1 불활성 가스 공급관(246a)의 하류단이 접속된다. 제1 불활성 가스 공급관(246a)에는 상류 방향부터 순서대로 불활성 가스 공급원(246b), MFC(246c) 및 밸브(246d)가 설치된다. 그리고 불활성 가스 공급원(246b)으로부터 불활성 가스가 MFC(246c) 및 밸브(246d)를 개재하여 제1 가스 공급관(243a)에 공급된다. 불활성 가스는 예컨대 질소(N2) 가스다. 또한 불활성 가스로서 N2 가스 외에 예컨대 아르곤(Ar) 가스, 헬륨(He) 가스, 네온(Ne) 가스, 크세논(Xe) 가스 등의 희가스를 이용할 수 있다.
주로 제1 가스 공급관(243a), MFC(243c) 및 밸브(243d)에 의해 처리 가스 공급부 중 하나인 제1 가스 공급부(Si 함유 가스 공급부라고도 부른다.)(243)가 구성된다. 또한 제1 가스 공급원(243b)을 제1 가스 공급부(243)에 포함시켜서 생각해도 좋다. 또한 주로 제1 불활성 가스 공급관(246a), MFC(246c) 및 밸브(246d)에 의해 제1 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(246b), 제1 가스 공급관(243a)을 제1 불활성 가스 공급부에 포함시켜서 생각해도 좋다. 또한 제1 불활성 가스 공급부를 제1 가스 공급부(243)에 포함시켜서 생각해도 좋다.
(제2 가스 공급부)
제2 가스 공급관(244a)에는 상류 방향부터 순서대로 제2 가스 공급원(244b), MFC(244c) 및 밸브(244d)가 설치된다. 그리고 제2 가스 공급원(244b)으로부터 제2 원소를 함유하는 가스(제2 처리 가스)가 MFC(244c), 밸브(244d), 제2 가스 공급관(244a), 공통 가스 공급관(242)을 개재하여 처리실(201)에 공급된다.
제2 처리 가스는 제1 처리 가스가 함유하는 제1 원소(예컨대 Si)와는 다른 제2 원소(예컨대 질소)를 함유하는 것으로 예컨대 질소(N) 함유 가스다. N 함유 가스로서는 예컨대 암모니아(NH3) 가스가 이용된다.
제2 가스 공급관(244a)의 밸브(244d)보다 하류측에는 제2 불활성 가스 공급관(247a)의 하류단이 접속된다. 제2 불활성 가스 공급관(247a)에는 상류 방향부터 순서대로 불활성 가스 공급원(247b), MFC(247c) 및 밸브(247d)가 설치된다. 그리고 불활성 가스 공급원(247b)으로부터 불활성 가스가 MFC(247c) 및 밸브(247d)를 개재하여 제2 가스 공급관(244a)에 공급된다. 불활성 가스에 대해서는 제1 불활성 가스 공급부의 경우와 마찬가지이다.
주로 제2 가스 공급관(244a), MFC(244c) 및 밸브(244d)에 의해 처리 가스 공급부의 다른 하나인 제2 가스 공급부(질소 함유 가스 공급부라고도 부른다.)(244)가 구성된다. 또한 제2 가스 공급원(244b)을 제2 가스 공급부(244)에 포함시켜서 생각해도 좋다. 또한 주로 제2 불활성 가스 공급관(247a), MFC(247c) 및 밸브(247d)에 의해 제2 불활성 가스 공급부가 구성된다. 또한 불활성 가스 공급원(247b), 제2 가스 공급관(244a)을 제2 불활성 가스 공급부에 포함시켜서 생각해도 좋다. 또한 제2 불활성 가스 공급부를 제2 가스 공급부(244)에 포함시켜서 생각해도 좋다.
(제3 가스 공급부)
제3 가스 공급관(245a)에는 상류 방향부터 순서대로 제3 가스 공급원(245b), MFC(245c) 및 밸브(245d)가 설치된다. 그리고 제3 가스 공급원(245b)으로부터 퍼지 가스로서의 불활성 가스가 MFC(245c), 밸브(245d), 제3 가스 공급관(245a), 공통 가스 공급관(242)을 개재하여 처리실(201)에 공급된다.
여기서 불활성 가스는 예컨대 N2 가스다. 또한 불활성 가스로서 N2 가스 외에 예컨대 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용할 수 있다.
주로 제3 가스 공급관(245a), MFC(245c) 및 밸브(245d)에 의해 불활성 가스 공급부인 제3 가스 공급부(퍼지 가스 공급부라고도 부른다.)(245)가 구성된다. 또한 제3 가스 공급원(245b)을 제3 가스 공급부(245)에 포함시켜서 생각해도 좋다.
(배기부)
처리실(201)[상부 용기(202a)]의 내벽 상면에는 처리실(201) 내의 분위기를 배기하기 위한 배기구(221)가 설치된다. 배기구(221)에는 제1 배기관으로서의 배기관(224)이 접속된다. 배기관(224)에는 처리실(201) 내를 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 등의 압력 조정기(227)와, 그 전단 또는 후단에 설치된 배기 조정부로서의 배기 조정 밸브(228)와, 진공 펌프(223)가 직렬로 접속된다.
압력 조정기(227) 및 배기 조정 밸브(228)는 후술하는 기판 처리 공정을 수행할 때 마찬가지로 후술하는 컨트롤러(260)에 의한 제어를 따르면서 처리실(201) 내의 압력을 조정하도록 구성된다. 더욱 구체적으로는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피에 따라 압력 조정기(227) 및 배기 조정 밸브(228)에서의 밸브의 개도(開度)를 가변시키는 것에 의해 처리실(201) 내의 압력을 조정하도록 구성된다.
또한 배기관(224)에는 예컨대 압력 조정기(227)의 전단[즉 처리실(201)에 가까운 측]에 그 배기관(224) 내의 압력을 측정하는 압력 측정부로서의 압력 센서(229)가 설치된다. 또한 여기서는 압력 센서(229)가 배기관(224) 내의 압력을 측정하는 경우를 예로 들었지만, 압력 센서(229)는 처리실(201) 내의 압력을 측정하는 것이어도 좋다. 즉 압력 센서(229)는 처리실(201) 내, 또는 배기부를 구성하는 배기관(224) 내 중 어느 하나의 압력을 측정하는 것이라면 좋다.
주로 배기구(221), 배기관(224), 압력 조정기(227), 배기 조정 밸브(228)에 의해 배기부(배기 라인)가 구성된다. 또한 진공 펌프(223), 압력 센서(229)를 배기부에 포함시켜서 생각해도 좋다.
(3)기판 처리 장치에서의 컨트롤러의 구성
다음으로 일 실시 형태에 따른 기판 처리 장치의 일부인 컨트롤러(260)에 대해서 설명한다. 도 3은 본 실시 형태에 따른 컨트롤러의 개략 구성도다.
(하드웨어 구성)
컨트롤러(260)는 기판 처리 장치(100)의 각(各) 부(部) 및 기판 처리 시스템(2000)의 반송 로봇 등의 동작을 제어하는 제어부(제어 수단)로서 기능한다. 그 때문에 컨트롤러(260)는 도 3에 도시하는 바와 같이 CPU(Central Processing Unit)(260a), RAM(Random Access Memory)(260b), 기억 장치(260c), I/O 포트(260d)를 구비한 컴퓨터로서 구성된다. RAM(260b), 기억 장치(260c), I/O 포트(260d)는 내부 버스(260e)를 개재하여 CPU(260a)과 데이터 교환 가능하도록 구성된다.
기억 장치(260c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(260c) 내에는 기판 처리 장치(100)의 동작을 제어하는 제어 프로그램, 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피, 다양한 처리의 과정에서 발생하는 연산 데이터나 처리 데이터 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 기판 처리의 각 순서를 컨트롤러(260)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다.
RAM(260b)은 CPU(260a)에 의해 판독된 프로그램, 연산 데이터, 처리 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(260d)는 게이트 밸브(1490), 승강 기구(218), 압력 조정기(227), 배기 조정 밸브(228), 진공 펌프(223), 압력 센서(229), MFC(243c, 244c, 245c, 246c, 247c), 밸브(243d, 244d, 245d, 246d, 247d), 온도 조정부(213c, 213d), 정합기(251), 고주파 전원(252), 진공 반송 로봇(2700), 대기 반송 로봇(2220) 등에 접속된다.
또한 컨트롤러(260)는 예컨대 터치패널 등으로서 구성된 입출력 장치(261)나, 외부 기억 장치(262)가 접속 가능하도록 구성된다. 또한 컨트롤러(260)는 송수신부(285) 및 네트워크(263)(인터넷이나 전용 회선 등)을 개재하여 기판 처리 장치(100)의 상위 장치가 되는 호스트 장치(호스트 컴퓨터)(500)가 접속 가능하도록 구성된다. 또한 본 개시에서의 접속이란 각 부가 물리적인 케이블(신호선)로 연결되어 있다는 의미도 포함하지만, 각 부의 신호(전자 데이터)가 직접 또는 간접적으로 송수신 가능하도록 이루어져 있다는 의미도 포함한다.
(프로그램)
기억 장치(260c) 내에 격납되는 제어 프로그램이나 프로세스 레시피 등은 연산부로서의 CPU(260a)에 실행되는 프로그램으로서 기능한다. 이하, 이들을 총칭하여 단순히 프로그램 또는 단순히 레시피라고도 부른다. 본 명세서에서 프로그램이라는 단어를 사용한 경우는 프로그램 단체만을 포함하는 경우, 레시피 단체만을 포함하는 경우, 또는 이것들의 조합을 포함하는 경우가 있다.
연산부로서의 CPU(260a)은 기억 장치(260c)로부터 프로그램을 판독하여 실행하도록 구성된다. 그리고 CPU(260a)은 판독한 프로그램으로 규정되는 내용을 따르도록 게이트 밸브(1490)의 개폐 동작, 승강 기구(218)의 승강 동작, 온도 조정부(213c, 213d)의 전력 공급, 정합기(251)의 전력의 정합 동작, 고주파 전원(252)의 ON/OFF 제어, MFC(243c, 244c, 245c, 246c, 247c)의 동작 제어, 밸브(243d, 244d, 245d, 246d, 247d, 308)의 가스의 ON/OFF 제어, 압력 조정기(227)의 밸브 개도 조정, 배기 조정 밸브(228)의 밸브 개도 조정, 진공 펌프의 ON/OFF 제어, 진공 반송 로봇(2700)의 동작 제어, 대기 반송 로봇(2220)의 동작 제어 등을 수행한다.
또한 컨트롤러(260)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光) 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리](262)를 준비하고, 이러한 외부 기억 장치(262)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(260)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(262)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 네트워크(263)(인터넷이나 전용 회선) 등의 통신 수단을 이용하여 외부 기억 장치(262)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(260c)나 외부 기억 장치(262)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 사용한 경우는 기억 장치(260c) 단체(單體)만을 포함하는 경우, 외부 기억 장치(262) 단체만을 포함하는 경우, 또는 그것들의 양방(兩方)을 포함하는 경우가 있다.
(4) 기판 처리 공정의 기본적인 순서
다음으로 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서 웨이퍼(200) 상에 소정 막을 성막하는 기판 처리 공정을 예로 들어 그 개요를 설명한다. 또한 여기서는 소정 막으로서 예컨대 질화막으로서의 실리콘질화막(SiN막)을 성막하는 경우를 예로 든다. 이하에 설명하는 기판 처리 공정은 전술한 기판 처리 시스템(2000)에서의 각 기판 처리 장치[100(100a, 100b, 100c, 100d)]로 수행된다. 또한 이하의 설명에서 각 부의 동작은 컨트롤러(260)에 의해 제어된다.
도 4는 본 실시 형태에 따른 기판 처리 공정의 개요의 흐름도다.
(기판 반입·가열 공정: S101)
기판 처리 시에는 우선 기판 반입·가열 공정(S101)에서 IO 스테이지(2100) 상의 포드(2001)로부터 미처리 웨이퍼(200)를 취출(取出)하는 것과 함께 그 웨이퍼(200)를 어느 하나의 기판 처리 장치(100)에 반입한다. 기판 처리 장치(100)가 복수 존재하는 경우, 소정 순서로 각각의 기판 처리 장치(100)로의 반입을 수행한다. 웨이퍼(200)의 취출은 대기 반송실(2200) 내의 대기 반송 로봇(2220)을 이용하여 수행한다. 또한 웨이퍼(200)의 반입은 TM(2400) 내의 진공 반송 로봇(2700)을 이용하여 수행한다. 그리고 웨이퍼(200)를 반입하면 진공 반송 로봇(2700)을 퇴피시키고, GV(1490)을 닫고 기판 처리 장치(100)의 처리 용기(202) 내를 밀폐한다. 그 후 기판 재치대(212)를 상승시켜서 기판 재치면(211) 상의 웨이퍼(200)를 웨이퍼 처리 위치에 위치시킨다. 그 상태에서 처리실(201) 내가 소정의 압력이 되도록 배기부(배기계)를 제어하는 것과 함께, 웨이퍼(200)의 표면 온도가 소정의 온도가 되도록 히터(213a, 213b)를 제어한다.
(기판 처리 공정: S102)
웨이퍼 처리 위치에 위치시킨 웨이퍼(200)가 소정 온도가 되면, 계속해서 기판 처리 공정(S102)을 수행한다. 기판 처리 공정(S102)에서는 웨이퍼(200)를 소정의 온도로 가열한 상태에서 제1 가스 공급부(243)를 제어하여 제1 가스를 처리실(201)에 공급 하는 것과 함께, 배기부를 제어하여 처리실(201)을 배기하고, 웨이퍼(200)에 처리를 수행한다. 또한 이때 제2 가스 공급부(244)를 제어하여 제2 가스를 제1 가스와 동시에 처리 공간에 존재시켜서 CVD 처리를 수행하거나, 제1 가스와 제2 가스를 교호적으로 공급하여 사이클릭 처리를 수행해도 좋다. 또한 제2 가스를 플라즈마 상태로 처리하는 경우에는 분산판(234b)에 고주파 전력을 공급하는 것에 의해 처리실(201) 내에 플라즈마를 생성해도 좋다.
막 처리 방법의 일 구체예인 사이클릭 처리로서는 다음 방법이 생각된다. 예컨대 제1 가스로서 DCS 가스를 이용하고, 제2 가스로서 NH3 가스를 이용한 경우를 들 수 있다. 그 경우, 제1 공정에서는 DCS 가스를 웨이퍼(200)에 공급하고, 제2 공정에서는 NH3 가스를 웨이퍼(200)에 공급한다. 제1 공정과 제2 공정 사이에는 퍼지 공정으로서 N2 가스를 공급하는 것과 함께 처리실(201)의 분위기를 배기한다. 이 제1 공정, 퍼지 공정, 제2 공정을 복수 회 수행하는 사이클릭 처리를 수행하는 것에 의해 웨이퍼(200) 상에 실리콘질화(SiN)막이 형성된다.
(기판 반입출 공정: S103)
웨이퍼(200)에 소정의 처리가 수행된 후는 기판 반입출 공정(S103)에서 기판 처리 장치(100)의 처리 용기(202) 내로부터의 처리 완료된 웨이퍼(200)의 반출을 수행한다. 처리 완료된 웨이퍼(200)의 반출은 예컨대 TM(2400) 내의 진공 반송 로봇(2700)의 암(2900)을 이용하여 수행한다.
이때 예컨대 진공 반송 로봇(2700)의 암(2800)에 미처리 웨이퍼(200)가 보지되는 경우에는 그 미처리 웨이퍼(200)의 처리 용기(202) 내로의 반입을 진공 반송 로봇(2700)이 수행한다. 그리고 처리 용기(202) 내의 웨이퍼(200)에 대하여 기판 처리 공정(S102)이 수행된다. 또한 암(2800)에 미처리 웨이퍼(200)가 보지되지 않는 경우에는 처리 완료된 웨이퍼(200)의 반출만 수행된다.
진공 반송 로봇(2700)이 웨이퍼(200)의 반출을 수행하면, 그 후 반출한 처리 완료된 웨이퍼(200)를 IO 스테이지(2100) 상의 포드(2001) 내에 수용한다. 포드(2001)로의 웨이퍼(200)의 수용은 대기 반송실(2200) 내의 대기 반송 로봇(2220)을 이용하여 수행한다.
(판정 공정: S104)
기판 처리 시스템(2000)에서는 기판 처리 공정(S102) 및 기판 반입출 공정(S103)을 미처리 웨이퍼(200)가 없어질 때까지 반복하여 수행한다. 그리고 미처리 웨이퍼(200)가 없어지면, 전술한 일련의 처리(S101 내지 S104)를 종료한다.
(5) 메인터넌스 처리의 개요
다음으로 전술한 기판 처리 시스템(2000)에서의 기판 처리 장치(100)의 메인터넌스 처리에 대해서 설명한다.
기판 처리 시스템(2000)에서 각 기판 처리 장치(100)로 수행하는 기판 처리 공정 시에는 복수의 웨이퍼(200)를 하나의 단위(로트)로 취급한다. 예컨대 하나의 포드(2001)에 격납된 복수(예컨대 25매)의 웨이퍼(200)를 하나의 로트로 한다. 또한 예컨대 소정 수의 포드(2001)에 격납된 각 웨이퍼(200)를 하나의 로트로 해도 좋다.
복수의 웨이퍼(200)를 하나의 로트로 하는 경우, 기판 처리 장치(100)에서는 그 로트를 구성하는 각 웨이퍼(200)에 대하여 순서대로 기판 처리 공정을 실시하게 된다. 도 5는 복수 기판에 대하여 순서대로 기판 처리를 수행하는 경우의 순서의 개요의 흐름도다. 구체적으로는 도 5에 도시하는 바와 같이 기판 처리 장치(100)에서는 m(m은 자연수)번째의 로트에 대하여 그 로트의 1매째의 웨이퍼(200)부터 기판 처리를 시작한다. 그리고 그 로트의 최종 매째인 n(n은 자연수)매째의 웨이퍼(200)의 기판 처리가 완료될 때까지 그 로트를 구성하는 각 웨이퍼(200)의 기판 처리를 순서대로 반복하여 수행한다. m번째의 로트의 모든 웨이퍼(200)의 기판 처리가 완료되면, 그 후는 m+1번째의 로트에 대해서 다시 같은 순서로 기판 처리를 수행한다.
이와 같이 복수의 웨이퍼(200)에 대하여 기판 처리를 반복 수행하는 경우, 기판 처리 장치(100)에서는 처리 용기(202) 내에 불필요한 막(반응 부생성물 등)이 퇴적될 우려가 있다. 그렇기 때문에 기판 처리 장치(100)에 대해서는 소정의 메인터넌스 시기에 클리닝을 위한 메인터넌스 처리를 수행하는 것이 바람직하다. 또한 메인터넌스 시기는 웨이퍼(200)의 처리 매수, 누계 막 두께, 반응 부생성물 등의 두께, 누적 처리 시간 등의 적어도 어느 하나에 기초하여 판단된다.
단, 복수의 웨이퍼(200)를 하나의 로트로 취급하는 경우, 메인터넌스 처리를 수행하는 타이밍에 따라서는 각 웨이퍼(200)의 처리 품질이 문제가 될 수 있다. 예컨대 어떤 로트에 대한 처리 도중에 메인터넌스 처리를 개재하면, 메인터넌스 처리의 전후에서 처리 조건이 바뀌고, 동일 로트 내에서 웨이퍼(200)의 처리 품질에 편차가 발생할 우려가 있다. 또한 예컨대 처리 조건을 맞추기 위해 메인터넌스 처리의 실행을 지나치게 미루면, 적절한 타이밍을 놓쳐 웨이퍼(200)의 처리 품질에 악영향이 미칠 우려가 있다. 또한 예컨대 어떤 타이밍에 메인터넌스 처리를 수행할지의 판단을 보수 작업자 등에 맡기면, 그 보수 작업자 등의 부담이 커지고, 게다가 적절한 판단을 실시할 수 있다는 보장도 없다.
그래서 본 실시 형태의 기판 처리 시스템(2000)에서는 각 기판 처리 장치(100)로 메인터넌스 처리를 수행하는 타이밍의 적정화를 도모하기 위해, 컨트롤러(260)가 이하에 설명하는 특징적인 기능 구성을 실현함과 동시에 그 기능 구성에 의해 이하에 설명하는 특징적인 제어 처리를 수행하도록 이루어진다. 이하, 특징적인 기능 구성 및 제어 처리에 대해서 순서대로 설명한다.
(6) 컨트롤러의 특징적인 기능 구성
도 6은 일 실시 형태에 따른 컨트롤러에 의한 기능 구성예의 블록도다. 도 6에 도시하는 바와 같이 컨트롤러(260)는 기억 장치(260c)로부터 판독한 프로그램을 CPU(260a)가 실행하는 것에 의해 정보 접수부(260f), 메인터넌스 제어부(260g) 및 정보 보지부(報知部)(260h)로서 기능하도록 이루어진다.
정보 접수부(260f)는 메인터넌스 예약 정보를 접수하는 기능이다. 메인터넌스 예약 정보는 각 기판 처리 장치(100)의 처리 용기(202) 내, 특히 처리 용기(202) 내에 형성되는 처리실(201)에 대해서 메인터넌스 처리를 수행하는 것을 예약하는 정보이며, 기판 처리 장치(100)마다 개별로 주어지는 정보다. 메인터넌스 예약 정보는 예컨대 소정의 메인터넌스 시기에 호스트 장치(500)로부터 주어진다. 메인터넌스 시기가 되었는지의 여부는 각 기판 처리 장치(100)에서의 웨이퍼(200)의 처리 매수, 누계 막 두께, 누적 처리 시간 등 중 적어도 어느 하나에 기초하여 호스트 장치(500)에서 판단된다. 단, 메인터넌스 예약 정보는 호스트 장치(500)로부터 주어지는 것에 한정되지 않고, 예컨대 각 기판 처리 장치(100)에 부설된 터치패널 등의 입출력 장치(261)로부터 보수 작업자 등의 조작에 의해 주어지는 것이어도 좋다.
메인터넌스 제어부(260g)는 정보 접수부(260f)가 메인터넌스 예약 정보를 접수하면, 그 메인터넌스 예약 정보에 의해 예약된 메인터넌스 처리를 수행하는 타이밍을 제어하는 기능이다. 또한 메인터넌스 처리의 타이밍 제어의 구체적인 형태에 대해서는 상세를 후술한다.
정보 보지부(260h)는 정보 접수부(260f)가 메인터넌스 예약 정보를 접수하면, 메인터넌스 예약 정보를 접수하여 메인터넌스 처리가 예약된 취지의 보지를 수행하는 기능이다. 정보 보지부(260h)에 의한 보지는 예컨대 메인터넌스 처리가 예약된 기판 처리 장치(100)에 부설된 터치패널 등의 입출력 장치(261)에서의 화면 표시에 의해 수행한다. 단, 이에 한정되지 않고, 예컨대 호스트 장치(500)로의 데이터 송신에 의해 수행해도 상관없다.
(7) 메인터넌스 타이밍의 특징적인 제어 처리 순서
계속해서 전술한 기능 구성을 이용하여 수행하는 메인터넌스 처리의 타이밍 제어에 대해서 구체적인 제어 형태를 설명한다.
(제1 제어 형태)
우선 제1 제어 형태에 대해서 설명한다. 도 7은 본 실시 형태에 따른 메인터넌스 타이밍 제어 처리의 일례의 흐름도다.
예컨대 도 7에 도시하는 바와 같이 어떤 기판 처리 장치(100)로 m번째의 로트의 각 웨이퍼(200)의 기판 처리 중, 즉 m번째의 로트에서의 n매째의 웨이퍼(200)의 기판 처리가 완료되기 전에 그 기판 처리 장치(100)에 대하여 메인터넌스 예약 정보가 발생한 경우를 생각한다. 메인터넌스 예약 정보는 기판 처리 장치(100)에서의 웨이퍼(200)의 처리 매수, 누계 막 두께, 누적 처리 시간 등이 소정의 허용 값을 초과하면, 그 기판 처리 장치(100)가 메인터넌스 시기가 되었다고 판단되어 그 기판 처리 장치(100)에서의 기판 처리의 상황과 상관없이 호스트 장치(500) 또는 입출력 장치(261)로부터 발해지는 것으로 한다. 메인터넌스 예약 정보가 발생하면, 그 메인터넌스 예약 정보는 정보 접수부(260f)에 의해 접수된다.
정보 접수부(260f)가 메인터넌스 예약 정보를 접수하면, 메인터넌스 제어부(260g)는 그 접수 후부터 기판 처리 장치(100)로 처리 중인 기판 처리가 완료될 때까지, 또한 구체적으로는 처리 중의 m번째의 로트에서의 n매째의 웨이퍼(200)의 기판 처리가 완료될 때까지 그 기판 처리 장치(100)에 기판 처리를 계속해서 수행한다. 즉 처리 중인 로트를 구성하는 모든 웨이퍼(200)의 기판 처리가 완료될 때까지 기판 처리 장치(100)에 기판 처리를 계속해서 수행한다. 따라서 예컨대 하나의 포드(2001)에 격납된 복수의 웨이퍼(200)를 하나의 로트로 하는 경우라면, 그 포드(2001)에 격납된 모든 웨이퍼(200)의 기판 처리가 완료될 때까지 기판 처리 장치(100)에서의 기판 처리를 계속하게 된다.
또한 정보 접수부(260f)가 메인터넌스 예약 정보를 접수하면, 그 시점에서 정보 보지부(260h)는 메인터넌스 예약 정보를 접수하여 메인터넌스 처리가 예약된 취지의 보지를 수행한다. 이에 의해 입출력 장치(261)를 조작하는 보수 작업자 또는 호스트 장치(500)의 오퍼레이터인 시스템 관리자(이하, 단순히 「보수 작업자나 시스템 관리자 등」이라고 부른다.)는 메인터넌스 예약 정보에 따라 메인터넌스 처리가 예약되었음을 인식할 수 있다.
그리고 처리 중인 로트를 구성하는 모든 웨이퍼(200)의 기판 처리가 완료되면, 그 후 메인터넌스 제어부(260g)는 처리 완료된 모든 웨이퍼(200)를 포드(2001)에 격납시키는 한편, 그 기판 처리 장치(100)로의 새로운 웨이퍼(200)[즉 m+1번째의 로트에서의 1매째의 웨이퍼(200)]의 반송을 정지하고, 그 기판 처리 장치(100)에서의 처리 용기(202) 내[특히 처리실(201) 내]를 메인터넌스 가능한 상태로 한다.
메인터넌스 가능한 상태란 기판 처리 장치(100)가 동작을 정지하고, 그 기판 처리 장치(100)의 처리 용기(202)를 대기(大氣) 개방할 수 있고, 그 처리 용기(202) 내[특히 처리실(201) 내]에 대하여 클리닝 등의 메인터넌스 처리를 수행하는 것이 가능한 상태를 말한다.
이상 설명한 바와 같이 제1 제어 형태에서는 기판 처리 장치(100)에 대한 메인터넌스 예약 정보의 접수부터 그 기판 처리 장치(100)를 메인터넌스 가능한 상태로 할 때까지 처리 중의 기판 처리를 완료시키는 만큼의 타임래그(시간차)를 발생시킨다. 그렇기 때문에 임의의 타이밍으로 메인터넌스 예약 정보를 발할 수 있고, 그 경우에도 예컨대 어떤 로트에 대한 처리 도중에 기판 처리가 중단되는 일이 발생하지 않는다. 또한 임의의 타이밍으로 메인터넌스 예약 정보를 발해도 그 후에서의 소정 타이밍으로 자동적으로 기판 처리 장치(100)가 메인터넌스 가능한 상태로 이행한다.
따라서 제1 제어 형태에 따르면, 메인터넌스 예약 정보를 발하는 타이밍과 상관없이, 동일 로트 내에서 웨이퍼(200)의 처리 품질에 편차가 발생하는 일이 없다. 또한 예컨대 메인터넌스 처리의 실행을 지나치게 미뤄서 적절한 타이밍을 놓치는 일도 없고, 웨이퍼(200)의 처리 품질에 악영향이 미치는 것을 미연에 회피할 수 있다. 또한 보수 작업자나 시스템 관리자 등의 부담도 억제할 수 있고, 그 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 뛰어난 것이 된다. 즉 제1 제어 형태에 따르면, 기판 처리 장치(100)로 메인터넌스 처리를 수행하는 타이밍의 적정화를 도모할 수 있다. 또한 기판 처리 장치(100)를 복수 포함하는 기판 처리 시스템(2000)에서는 메인터넌스 처리를 수행하는 타이밍을 적정화하는 것에 의해 기판 처리 시스템(2000)의 가동율을 향상시키는 것이 가능해진다. 즉 기판 처리 시스템(2000)에서의 반도체 장치의 제조 스루풋을 향상시키는 것이 가능해진다.
(제2 제어 형태)
다음으로 제2 제어 형태에 대해서 설명한다. 여기서는 주로 전술한 제1 제어 형태와의 다른 점을 설명한다.
메인터넌스 예약 정보를 접수한 후, 제1 제어 형태에서는 처리 중인 로트의 모든 웨이퍼(200)가 완료될 때까지 기판 처리 장치(100)에서의 기판 처리를 계속하지만, 제2 제어 형태에서는 처리 중인 로트에서의 미처리 웨이퍼(200)의 매수에 따라 기판 처리 장치(100)로 계속해서 수행하는 기판 처리의 처리 매수를 결정한다.
예컨대 하나의 포드(2001)에 격납된 복수(예컨대 25매)의 웨이퍼(200)를 하나의 로트로 하는 경우에 대해서 생각한다. 그 경우에 미처리 웨이퍼(200)의 매수가 로트 전체의 매수의 절반 이하라면, 메인터넌스 제어부(260g)는 그 로트의 모든 웨이퍼(200)가 완료될 때까지 기판 처리 장치(100)에서의 기판 처리를 계속한다. 한편, 미처리 웨이퍼(200)의 매수가 로트 전체의 매수의 절반을 초과하면, 그 처리에 많은 시간이 소요되는 것이 예상되므로 메인터넌스 제어부(260g)는 그 로트의 모든 웨이퍼(200)의 처리 완료를 기다리지 않고, 그 중의 소정 매수(예컨대 처리 중인 적어도 1장)의 처리가 완료된 단계에서 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다. 즉 예컨대 25매의 웨이퍼(200)를 하나의 로트로 하는 경우라면, 그 절반에 가까운 10매 내지 13매 정도를 판단 기준이 되는 임계값으로서 설정하고, 메인터넌스 예약 정보를 접수한 시점의 미처리 매수가 임계값 이하인가의 여부에 따라 기판 처리 장치(100)로 계속해서 수행하는 기판 처리의 처리 매수를 가변시킨다.
이상으로 설명한 바와 같이 제2 제어 형태에서는 메인터넌스 예약 정보를 접수한 시점에서의 처리 중 로트의 미처리 매수에 따라 기판 처리 장치(100)로 계속해서 수행하는 기판 처리의 처리 매수를 가변시킨다. 그렇기 때문에 예컨대 미처리 매수가 적으면 그 로트만큼의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하여, 이에 의해 동일 로트 내에서의 처리 품질의 편차를 억제할 수 있다. 한편, 미처리 매수가 많으면 그 로트만큼의 기판 처리의 완료를 기다리지 않고 메인터넌스 가능한 상태로 하는 것에 의해 신속한 메인터넌스 처리의 시작이 가능해진다.
따라서 제2 제어 형태에 따르면, 메인터넌스 예약 정보를 접수한 시점에서의 처리 중 로트의 미처리 매수에 따라 메인터넌스 처리를 수행하는 타이밍을 임기응변으로 가변시키는 것이 가능해지고, 그 결과로서 기판 처리 장치(100)로 메인터넌스 처리를 수행하는 타이밍에 대해서 한층 더 적정화를 도모할 수 있다.
(제3 제어 형태)
다음으로 제3 제어 형태에 대해서 설명한다. 여기서는 주로 전술한 제1 또는 제2 제어 형태와의 다른 점을 설명한다.
전술한 바와 같이 제1 또는 제2 제어 형태에서는 메인터넌스 예약 정보를 접수하면, 처리 중 로트에 관한 기판 처리를 계속 수행한 후에 소정의 타이밍으로 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다. 단, 메인터넌스 예약 정보를 발한 후이어도 경우에 따라서는 어떠한 이유에 의해 메인터넌스 처리를 수행하는 타이밍을 빠르게 하거나 또는 늦출 필요가 발생하는 경우도 있다. 그래서 제3 제어 형태에서는 메인터넌스 예약 정보에 따라 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍에 대해서 그 타이밍의 소정 범위에서의 변경을 접수하도록 한다.
예컨대 메인터넌스 제어부(260g)는 메인터넌스 예약 정보를 접수한 후, 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하기 전에 호스트 장치(500) 또는 입출력 장치(261)로부터 메인터넌스 처리를 앞당기는 취지의 지시 정보가 보내져 오면, 그 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 빠르게 하도록 그 타이밍의 변경을 접수한다. 또한 구체적으로는 메인터넌스 제어부(260g)는 메인터넌스 가능한 상태로 하는 타이밍을 빠르게 하는 데 있어서 적어도 지시 정보를 받은 시점에서 기판 처리 장치(100)로 기판 처리 중인 웨이퍼(200)에 대해서는 상기 기판 처리를 완료시키고, 진공 반송 로봇(2700) 또는 대기 반송 로봇(2220)으로 반송 중인 웨이퍼(200)에 대해서는 포드(2001)에 회수한다. 그리고 웨이퍼(200)를 포드(2001)에 회수하면, 변경 전의 타이밍(예컨대 처리 중 로트가 완료되는 타이밍)이 되기 이전이어도 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다.
또한 예컨대 메인터넌스 제어부(260g)는 메인터넌스 예약 정보를 접수한 후, 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하기 전에 호스트 장치(500) 또는 입출력 장치(261)로부터 메인터넌스 처리를 미루는 취지의 지시 정보가 보내져 오면, 그 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 늦추도록 그 타이밍의 변경을 접수한다. 또한 구체적으로는 메인터넌스 제어부(260g)는 메인터넌스 가능한 상태로 하는 타이밍을 늦추는 경우에 변경전의 타이밍(예컨대 처리 중 로트가 완료되는 타이밍)에서는 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하지 않고, 그 기판 처리 장치(100)로 다음 로트의 웨이퍼(200)에 관한 기판 처리를 시작한다. 그리고 다음 로트(예컨대 제(m+1)로트))의 모든 웨이퍼(200)에 관한 기판 처리가 완료될 때까지 기다린 후에 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다.
이상 설명한 바와 같이 제3 제어 형태에서는 메인터넌스 예약 정보를 접수한 후이어도 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍의 소정 범위 내에서의 변경을 접수한다. 그렇기 때문에 메인터넌스 예약 정보에 의해 메인터넌스 처리가 예약된 상태이어도 어떠한 이유에 의해 메인터넌스 처리의 타이밍을 빠르게 하거나 또는 늦출 필요가 발생한 경우에는 그 타이밍을 앞당기거나 미루는 것이 가능해진다.
따라서 제3 제어 형태에 따르면, 필요에 따라 메인터넌스 처리를 수행하는 타이밍을 가변 시키는 것이 가능해지고, 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 뛰어난 것이 된다. 또한 메인터넌스 처리의 타이밍의 가변에 대응할 수 있기 때문에 메인터넌스 처리의 타이밍에 대해서 한층 더 적정화를 도모할 수 있다.
또한 타이밍의 변경은 소정 범위 내에서만 접수하도록 하는 것이 바람직하다. 소정 범위는 예컨대 웨이퍼(200)의 처리 매수, 누계 막 두께, 누적 처리 시간, 처리 로트 수, 메인터넌스 처리의 실행 시기 등 중 어느 하나, 또는 이것들의 조합에 관해서 허용할 수 있는 범위를 적절히 설정해두면 좋다. 이와 같이 소정 범위 내에서의 변경으로 한정하면, 타이밍이 무한히 변경되는 것을 회피할 수 있으므로, 타이밍 변경에 의한 폐해가 발생하는 일이 없다. 즉 타이밍 변경은 그 타이밍 변경에 의한 폐해가 발생하지 않는 범위 내로 한정하는 것이 바람직하다.
(제4 제어 형태)
다음으로 제4 제어 형태에 대해서 설명한다. 여기서는 주로 전술한 제1 내지 제3 제어 형태와의 다른 점을 설명한다.
예컨대 메인터넌스 예약 정보를 발한 후이어도 경우에 따라서는 어떠한 이유에 의해 그 메인터넌스 예약 정보에 의해 예약되는 메인터넌스 처리가 불필요해질 수도 있다. 그래서 제4 제어 형태에서는 메인터넌스 예약 정보를 접수한 후, 그 메인터넌스 예약 정보의 취소를 접수해 가능하도록 한다.
구체적으로는 메인터넌스 제어부(260g)는 메인터넌스 예약 정보를 접수한 후, 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하기 전에 호스트 장치(500) 또는 입출력 장치(261)로부터 메인터넌스 예약 정보를 취소하는 취지의 지시 정보가 보내져 오면, 그 메인터넌스 예약 정보의 취소를 접수한다. 그리고 메인터넌스 제어부(260g)는 메인터넌스 예약 정보가 없었던 것으로 하고, 예컨대 취소 전의 타이밍(예컨대 처리 중 로트가 완료되는 타이밍)이 되어도 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하지 않고, 그 기판 처리 장치(100)로 다음 로트의 웨이퍼(200)에 관한 기판 처리를 시작한다.
이상 설명한 바와 같이 제4 제어 형태에서는 메인터넌스 예약 정보를 접수한 후이어도 그 메인터넌스 예약 정보의 취소를 접수하기 때문에, 메인터넌스 처리가 불필요가 된 경우에 적절하게 대응하는 것이 가능해진다. 따라서 제4 제어 형태에 따르면, 필요에 따라 메인터넌스 예약 정보가 없었던 것으로 하는 것이 가능해지고, 유연 또한 적절한 시스템 운용을 도모할 수 있다.
(제5 제어 형태)
다음으로 제5 제어 형태에 대해서 설명한다. 여기서는 주로 전술한 제1 내지 제4 제어 형태와의 다른 점을 설명한다.
제5 제어 형태에서는 메인터넌스 예약 정보를 접수하면, 그 접수 후부터 기판 처리 장치(100)에서의 기판 처리가 완료되어 상기 기판 처리 장치(100)가 메인터넌스 가능한 상태가 될 때까지의 소요 시간의 보지를 수행한다.
구체적으로는 메인터넌스 예약 정보를 접수하면, 메인터넌스 제어부(260g)는 이에 따라 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 특정하는 것과 함께 그 타이밍까지의 소요 시간을 산출한다. 소요 시간의 산출 기법은 공지 기술을 이용한 것이라면 좋다. 그리고 메인터넌스 제어부(260g)가 소요 시간을 산출하면, 정보 보지부(260h)는 그 소요 시간의 산출 결과에 대해서 입출력 장치(261) 또는 호스트 장치(500)로의 보지를 수행한다.
이상 설명한 바와 같이 제5 제어 형태에서는 기판 처리 장치(100)가 메인터넌스 가능한 상태가 될 때까지의 소요 시간의 보지를 수행하므로, 어느 시점에서 메인터넌스 처리가 실시 가능해지는지를 보수 작업자나 시스템 관리자 등이 용이 또한 정확하게 인식할 수 있다. 따라서 제5 제어 형태에 따르면, 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 뛰어난 것이 된다. 또한 메인터넌스 처리의 실시 시기의 적절화를 도모하는 것도 가능해지고, 적절한 시스템 운용을 도모하는 데 상당히 바람직한 것이 된다.
(제6 제어 형태)
다음으로 제6 제어 형태에 대해서 설명한다. 여기서는 주로 전술한 제1 내지 제5 제어 형태와의 다른 점을 설명한다.
전술한 제3 제어 형태에서는 메인터넌스 예약 정보를 접수한 후에 타이밍 변경을 접수하지만, 제6 제어 형태에서는 메인터넌스 예약 정보를 발하는 시점에서 그 메인터넌스 예약 정보에 따라 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 임의로 지정할 수 있도록 한다. 그렇기 때문에 메인터넌스 예약 정보에는 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 지정하는 파라미터 정보가 포함되는 것으로 한다.
예컨대 메인터넌스 처리를 바로 실시하고자 하는 경우라면, 그 취지의 파라미터 정보를 포함하는 메인터넌스 예약 정보를 메인터넌스 제어부(260g)에 접수한다. 그리고 그 메인터넌스 예약 정보를 접수한 메인터넌스 제어부(260g)는 접수 시점에서 기판 처리 장치(100)로 기판 처리 중인 웨이퍼(200)에 대해서 상기 기판 처리를 완료시키고, 그 후에 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다. 또한 예컨대 복수 로트에 관한 기판 처리를 계속한 후에 메인터넌스 처리를 실시하고자 하는 경우라면, 그 취지의 파라미터 정보를 포함하는 메인터넌스 예약 정보를 메인터넌스 제어부(260g)에 접수시킨다. 그리고 그 메인터넌스 예약 정보를 접수한 메인터넌스 제어부(260g)는 복수 로트에 관한 기판 처리가 완료될 때까지 기판 처리 장치(100)에 기판 처리를 계속해서 수행시키고, 복수 로트에 관한 기판 처리가 완료된 후에 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다.
이상 설명한 바와 같이 제6 제어 형태에서는 메인터넌스 예약 정보에 포함되는 파라미터 정보에 따라 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 임의로 지정하는 것이 가능해진다. 따라서 제6 제어 형태에 따르면, 보수 작업자나 시스템 관리자 등이 원하는 타이밍에서의 메인터넌스 처리의 실시가 가능해지고, 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 뛰어난 것이 된다. 또한 메인터넌스 처리의 실시 시기의 적절화를 도모하는 것도 가능해지고, 적절한 시스템 운용을 도모하는 데 상당히 바람직한 것이 된다.
(제7 제어 형태)
다음으로 제7 제어 형태에 대해서 설명한다. 여기서는 주로 전술한 제1 내지 제6 제어 형태와의 다른 점을 설명한다.
전술한 제1 내지 제6 제어 형태에서는 하나의 기판 처리 장치(100)로 동일 로트의 각 웨이퍼(200)를 연속적으로 처리하는 경우를 상정하지만, 제7 제어 형태에서는 TM(2400)에 연결된 복수 대의 기판 처리 장치(100)를 이용하여 각 기판 처리 장치(100)에 기판 처리를 배분하도록 한다.
예컨대 어떤 기판 처리 장치(100)에 관한 메인터넌스 예약 정보를 접수한 경우에 메인터넌스 제어부(260g)는 그 기판 처리 장치(100)로 기판 처리 중인 웨이퍼(200)에 대해서는 상기 기판 처리를 계속해서 수행한다. 또한 기판 처리 중인 웨이퍼(200)와 동일 로트에 미처리 웨이퍼(200)가 있으면, 메인터넌스 제어부(260g)는 메인터넌스 예약 정보를 접수하지 않은 다른 기판 처리 장치(100)에 미처리 웨이퍼(200)를 반송하고, 그 기판 처리 장치(100)로 웨이퍼(200)의 기판 처리를 수행한다. 즉 동일 로트의 미처리 웨이퍼(200)의 기판 처리를 메인터넌스 예약 정보를 접수하지 않은 다른 기판 처리 장치(100)로 분배한다. 도 1에 도시하는 기판 처리 시스템(2000)을 예에 설명하면 다음과 같다. 기판 처리 장치(100a 내지 100d) 내 기판 처리 장치(100a)에 메인터넌스 예약 정보를 접수한 경우, 메인터넌스 제어부(260g)는 기판 처리 장치(100b 내지 100d)로 기판 처리 중인 웨이퍼(200)에 대해서는 상기 기판 처리를 계속해서 수행한다. 또한 기판 처리 장치(100a)를 오프라인 상태로 한다.
이상 설명한 바와 같이 제7 제어 형태에서는 복수 대의 기판 처리 장치(100)를 이용하여 각 기판 처리 장치(100)에 기판 처리를 분배한다. 따라서 제7 제어 형태에 따르면, 기판 처리를 가르지 않는 경우에 비해 메인터넌스 예약 정보의 접수부터 메인터넌스 처리까지의 소요 시간을 단축하는 것이 가능해지고, 효율적인 시스템 운용을 도모하는 데 상당히 바람직한 것이 된다.
(8) 본 실시 형태의 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과를 갖는다.
(a) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보를 접수한 후, 그 메인터넌스 예약 정보에 따른 기판 처리 장치(100)에서의 기판 처리를 계속하여 상기 기판 처리 완료 후에 기판 처리 장치(100)를 메인터넌스 가능한 상태로 한다. 따라서 복수의 웨이퍼(200)를 하나의 로트로 취급하는 경우에 임의의 타이밍으로 메인터넌스 예약 정보를 발해도 각 웨이퍼(200)의 처리 품질에 악영향이 미치는 일이 없고, 또한 보수 작업자나 시스템 관리자 등의 부담이 커지는 일도 없고, 기판 처리 장치(100)로 메인터넌스 처리를 수행하는 타이밍의 적정화를 도모할 수 있다.
(b) 본 실시 형태에서 설명한 일 제어 형태에서는 예컨대 하나의 포드(2001)에 격납된 복수의 웨이퍼(200)를 하나의 로트로 하는 경우에 메인터넌스 예약 정보를 접수하면, 그 포드(2001)에 격납된 모든 웨이퍼(200)의 기판 처리가 완료될 때까지 기판 처리 장치(100)에서의 기판 처리를 계속한다. 따라서 메인터넌스 예약 정보를 발하는 타이밍과 상관없이 동일 로트 내에서 웨이퍼(200)의 처리 품질에 편차가 발생하는 일이 없고, 포드(2001) 단위로 각 웨이퍼(200)를 로트화하는 경우에 적용하므로 매우 바람직하다.
(c) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보를 접수한 시점에서의 처리 중 로트의 미처리 매수에 따라 기판 처리 장치(100)로 계속해서 수행하는 기판 처리의 처리 매수를 가변시킨다. 따라서 메인터넌스 처리를 수행하는 타이밍을 임기응변으로 가변시키는 것이 가능해지고, 그 결과적으로 기판 처리 장치(100)로 메인터넌스 처리를 수행하는 타이밍에 대해서 한층 더 적정화를 도모할 수 있다.
(d) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보를 접수하면, 상기 메인터넌스 예약 정보를 접수해서 메인터넌스가 예약된 취지의 보지를 수행한다. 따라서 보수 작업자나 시스템 관리자 등의 입장에서는 메인터넌스 예약 정보에 따라 메인터넌스 처리가 예약된 것을 인식할 수 있으므로 상당히 편리성에 뛰어난 것이 된다.
(e) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보에 따라 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍에 대해서 그 타이밍의 소정 범위 내에서의 변경을 접수한다. 따라서 필요에 따라 메인터넌스 처리를 수행하는 타이밍을 가변시키는 것이 가능해지고, 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 뛰어난 것이 된다. 또한 메인터넌스 처리의 타이밍의 가변에 대응할 수 있기 때문에 메인터넌스 처리의 타이밍에 대해서 한층 더 적정화를 도모할 수 있다.
(f) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보를 접수한 후, 그 메인터넌스 예약 정보의 취소를 접수해 가능하도록 한다. 따라서 필요에 따라 메인터넌스 예약 정보가 없었던 것으로 하는 것이 가능해지고, 유연 또한 적절한 시스템 운용을 도모할 수 있다.
(g) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보를 접수한 후, 기판 처리 장치(100)에서의 기판 처리가 완료되어 상기 기판 처리 장치(100)가 메인터넌스 가능한 상태가 될 때까지의 소요 시간의 보지를 수행한다. 따라서 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 좋은 것이 된다. 또한 메인터넌스 처리의 실시 시기의 적절화를 도모하는 것도 가능해지고, 적절한 시스템 운용을 도모하는 데 상당히 바람직한 것이 된다.
(h) 본 실시 형태에서 설명한 일 제어 형태에서는 메인터넌스 예약 정보에 기판 처리 장치(100)를 메인터넌스 가능한 상태로 하는 타이밍을 지정하는 파라미터 정보가 포함된다. 따라서 보수 작업자나 시스템 관리자 등이 원하는 타이밍으로의 메인터넌스 처리의 실시가 가능해지고, 보수 작업자나 시스템 관리자 등의 입장에서 매우 편리성이 뛰어난 것이 된다. 또한 메인터넌스 처리의 실시 시기의 적절화를 도모하는 것도 가능해지고, 적절한 시스템 운용을 도모하는 데 상당히 바람직한 것이 된다.
<다른 실시 형태>
이상으로, 본 개시의 일 실시 형태를 구체적으로 설명했지만, 본 개시가 전술한 실시 형태에 한정되지 않고 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
예컨대 전술한 실시 형태에서는 제1 가스와 제2 가스를 교호적으로 공급하여 성막하는 방법에 대해서 설명했지만, 다른 방법에도 적용가능하다. 예컨대 2종류의 가스가 아니고, 1종류의 가스를 이용한 처리이어도 좋다.
또한 전술한 실시 형태에서는 원료 가스로서 실리콘 함유 가스, 반응 가스로서 질소 함유 가스를 이용하여 웨이퍼 면상(面上)에 SiN막을 형성하는 예를 제시했지만, 다른 가스를 이용한 성막에도 적용 가능하다. 예컨대 산소 함유막, 질소 함유막, 탄소 함유막, 붕소 함유막, 금속 함유막과 이것들의 원소가 복수 함유한 막 등이 있다. 또한 이것들의 막으로서는 예컨대 AlO막, ZrO막, HfO막, HfAlO막, ZrAlO막, SiC막, SiCN막, SiBN막, TiN막, TiC막, TiAlC막 등이 있다.
또한 전술한 실시 형태에서는 기판 처리 공정에서 수행하는 처리로서 성막 처리를 예에 들었지만, 본 개시는 이에 한정되지 않는다. 즉 본 개시는 전술한 실시 형태에서 예로 든 성막 처리 이외의 처리에도 적용 가능하다. 예컨대 플라즈마를 이용한 확산 처리, 산화 처리, 질화 처리, 산질화 처리, 환원 처리, 산화 환원 처리, 에칭 처리, 가열 처리 등이 있다. 또한 예컨대 반응 가스만을 이용하여 기판 표면이나 기판에 형성된 막을 플라즈마 산화 처리나 플라즈마 질화 처리할 때에도 본 개시를 적용할 수 있다. 또한 반응 가스만을 이용한 플라즈마 어닐링 처리에도 적용할 수 있다. 이것들의 처리를 제1 처리로서 그 후 전술한 제2 처리를 수행해도 좋다.
또한 전술한 실시 형태에서는 하나의 처리실에서 1매의 기판을 처리하는 장치 구성을 도시했지만 이에 한정되지 않고, 복수 매의 기판을 수평 방향 또는 수직 방향으로 배열한 장치이어도 좋다.
또한 예컨대 전술한 실시 형태에서는 반도체 장치의 제조 공정에 대해서 설명했지만, 본 개시는 반도체 장치의 제조 공정 이외에도 적용 가능하다. 예컨대 액정 디바이스의 제조 공정, 태양 전지의 제조 공정, 발광 디바이스의 제조 공정, 유리 기판의 처리 공정, 세라믹 기판의 처리 공정, 도전성 기판의 처리 공정 등의 기판 처리가 있다.
<본 개시의 바람직한 형태>
이하, 본 개시의 바람직한 형태에 대해서 부기(付記)한다.
[부기 1]
본 개시의 일 형태에 따르면,
복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 공정;
상기 처리실의 메인터넌스 예약 정보를 접수하는 공정; 및
상기 메인터넌스 예약 정보를 접수한 후, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 공정
을 포함하는 반도체 장치의 제조 방법이 제공된다.
[부기 2]
바람직하게는, 상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 모든 기판의 기판 처리가 완료될 때까지 상기 처리실에서의 기판 처리를 계속하는 부기 1에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 3]
바람직하게는, 상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 미처리 기판의 매수에 따라 상기 처리실에서 계속해서 수행하는 기판 처리의 처리 매수를 결정하는 부기 1에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 4]
바람직하게는, 상기 메인터넌스 예약 정보를 접수하면, 상기 메인터넌스 예약 정보를 접수해서 메인터넌스가 예약된 취지의 보지를 수행하는 공정을 포함하는 부기 1 내지 부기 3 중 어느 하나의 일 형태에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 5]
바람직하게는, 상기 보지를 (상기 처리실을 포함하는 기판 처리 장치에서의) 화면 표시에 의해 수행하는 부기 4에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 6]
바람직하게는, 상기 보지를 상기 처리실에서의 기판 처리를 관리하는 호스트 장치로의 데이터 송신에 의해 수행하는 부기 4에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 7]
바람직하게는, 상기 메인터넌스 예약 정보에 따라 상기 처리실을 메인터넌스 가능한 상태로 하는 타이밍의 소정 범위 내에서의 변경을 접수하는 공정을 포함하는 부기 4에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 8]
바람직하게는, 상기 타이밍을 빠르게 하도록 상기 타이밍의 변경을 접수하는 부기 7에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 9]
바람직하게는, 상기 타이밍을 빠르게 하는 데 있어서, 적어도 상기 처리실에서의 기판 처리 중인 기판에 대해서는 상기 기판 처리를 완료시키고, 반송 중인 기판에 대해서는 상기 격납 용기에 회수하는 부기 8에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 10]
바람직하게는, 상기 타이밍을 늦추도록 상기 타이밍의 변경을 접수하는 부기 7에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 11]
바람직하게는, 상기 타이밍을 늦추는 경우에 변경 전의 타이밍에서는 상기 처리실을 메인터넌스 가능한 상태로 하지 않고, 상기 처리실에서 다음 기판에 관한 기판 처리를 시작하는 부기 10에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 12]
바람직하게는, 상기 메인터넌스 예약 정보의 취소를 접수하는 공정을 포함하는 부기 4에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 13]
바람직하게는, 상기 메인터넌스 예약 정보를 접수한 후, 상기 처리실에서의 기판 처리가 완료되어 상기 처리실이 메인터넌스 가능한 상태가 될 때까지의 소요 시간의 보지를 수행하는 공정을 포함하는 부기 4에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 14]
바람직하게는, 상기 메인터넌스 예약 정보는 상기 처리실을 메인터넌스 가능한 상태로 하는 타이밍을 지정하는 파라미터 정보를 포함하는 부기 1 내지 부기 13 중 어느 일 형태에 기재된 반도체 장치의 제조 방법이 제공된다.
[부기 15]
본 개시의 다른 일 형태에 따르면,
기판을 처리하는 처리실;
복수의 기판이 격납된 격납 용기와 상기 처리실 사이의 기판 반송을 수행하는 반송 로봇;
상기 처리실의 메인터넌스 예약 정보를 접수하는 정보 접수부; 및
상기 메인터넌스 예약 정보를 접수한 후, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 메인터넌스 제어부
를 포함하는 기판 처리 장치가 제공된다.
[부기 16]
본 개시의 또 다른 일 형태에 따르면,
복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 단계;
상기 처리실의 메인터넌스 예약 정보를 접수하는 단계; 및
상기 메인터넌스 예약 정보를 접수한 후, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 단계
를 컴퓨터에 의해 기판 처리 장치에 실행시키는 프로그램이 제공된다.
[부기 17]
본 개시의 또 다른 일 형태에 따르면,
복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 단계;
상기 처리실의 메인터넌스 예약 정보를 접수하는 단계; 및
상기 메인터넌스 예약 정보를 접수한 후, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 단계
를 컴퓨터에 의해 기판 처리 장치에 실행시키는 프로그램이 기록된 기록 매체가 제공된다.
100, 100a, 100b, 100c, 100d: 기판 처리 장치 200: 웨이퍼(기판)
201: 처리 공간(처리실) 202: 처리 용기
260: 컨트롤러 260a: CPU
260c: 기억 장치 260f: 정보 접수부
260g: 메인터넌스 제어부 260h: 정보보지부
261: 입출력 장치 500: 호스트 장치
2000: 기판 처리 시스템 2001: 격납 용기(포드)
2220: 대기 반송 로봇 2700: 진공 반송 로봇

Claims (20)

  1. 복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 공정;
    상기 처리실의 메인터넌스 예약 정보를 접수하는 공정; 및
    상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 미처리 기판의 매수에 따라 상기 처리실에서 계속해서 수행하는 기판 처리의 처리 매수를 결정하고, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 모든 기판의 기판 처리가 완료될 때까지 상기 처리실에서의 기판 처리를 계속하는 반도체 장치의 제조 방법.
  3. 삭제
  4. 제1항에 있어서,
    상기 메인터넌스 예약 정보를 접수하면, 상기 메인터넌스 예약 정보를 접수해서 메인터넌스가 예약된 취지의 보지(報知)를 수행하는 공정을 포함하는 반도체 장치의 제조 방법.
  5. 제4항에 있어서,
    상기 보지를 상기 처리실을 포함하는 기판 처리 장치에서의 화면 표시에 의해 수행하는 반도체 장치의 제조 방법.
  6. 제4항에 있어서,
    상기 보지를 상기 처리실에서의 기판 처리를 관리하는 호스트 장치로의 데이터 송신에 의해 수행하는 반도체 장치의 제조 방법.
  7. 제4항에 있어서,
    상기 메인터넌스 예약 정보에 따라 상기 처리실을 메인터넌스 가능한 상태로 하는 타이밍의 소정 범위 내에서의 변경을 접수하는 공정을 포함하는 반도체 장치의 제조 방법.
  8. 제7항에 있어서,
    상기 타이밍을 빠르게 하도록 상기 타이밍의 변경을 접수하는 반도체 장치의 제조 방법.
  9. 복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 공정;
    상기 처리실의 메인터넌스 예약 정보를 접수하는 공정;
    상기 메인터넌스 예약 정보를 접수한 후, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 공정;
    상기 메인터넌스 예약 정보를 접수하면, 상기 메인터넌스 예약 정보를 접수해서 메인터넌스가 예약된 취지의 보지를 수행하는 공정; 및
    상기 메인터넌스 예약 정보에 따라 상기 처리실을 메인터넌스 가능한 상태로 하는 타이밍을 빠르게 하도록 상기 타이밍의 변경을 접수하는 공정
    을 포함하고,
    상기 타이밍을 빠르게 하는 데 있어서 적어도 상기 처리실에서의 기판 처리 중인 기판에 대해서는 상기 기판 처리를 완료시키고, 반송 중인 기판에 대해서는 상기 격납 용기에 회수하는 반도체 장치의 제조 방법.
  10. 제7항에 있어서,
    상기 타이밍을 늦추도록 상기 타이밍의 변경을 접수하는 반도체 장치의 제조 방법.
  11. 제10항에 있어서,
    상기 타이밍을 늦추는 경우에 변경 전의 타이밍에서는 상기 처리실을 메인터넌스 가능한 상태로 하지 않고, 상기 처리실에서 다음 기판에 관한 기판 처리를 시작하는 반도체 장치의 제조 방법.
  12. 제4항에 있어서,
    상기 메인터넌스 예약 정보의 취소를 접수하는 공정을 포함하는 반도체 장치의 제조 방법.
  13. 제4항에 있어서,
    상기 메인터넌스 예약 정보를 접수한 후, 상기 처리실에서의 기판 처리가 완료되어 상기 처리실이 메인터넌스 가능한 상태가 될 때까지의 소요 시간의 보지를 수행하는 공정을 포함하는 반도체 장치의 제조 방법.
  14. 제1항에 있어서,
    상기 메인터넌스 예약 정보는 상기 처리실을 메인터넌스 가능한 상태로 하는 타이밍을 지정하는 파라미터 정보를 포함하는 반도체 장치의 제조 방법.
  15. 기판을 처리하는 처리실;
    복수의 기판이 격납된 격납 용기와 상기 처리실 사이의 기판 반송을 수행하는 반송 로봇;
    상기 처리실의 메인터넌스 예약 정보를 접수하는 정보 접수부; 및
    상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 미처리 기판의 매수에 따라 상기 처리실에서 계속해서 수행하는 기판 처리의 처리 매수를 결정하고, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 메인터넌스 제어부
    를 포함하는 기판 처리 장치.
  16. 제15항에 있어서,
    상기 메인터넌스 제어부는 상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 모든 기판의 기판 처리가 완료될 때까지 상기 처리실에서의 기판 처리를 계속하도록 구성되는 기판 처리 장치.
  17. 삭제
  18. 복수의 기판이 격납된 격납 용기로부터 상기 기판을 처리실에 반송하여 처리하는 단계;
    상기 처리실의 메인터넌스 예약 정보를 접수하는 단계; 및
    상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 미처리 기판의 매수에 따라 상기 처리실에서 계속해서 수행하는 기판 처리의 처리 매수를 결정하고, 상기 메인터넌스 예약 정보에 따른 상기 처리실에서의 기판 처리가 완료될 때까지 상기 기판 처리를 계속하고, 상기 기판 처리 완료 후에 상기 처리실로의 기판 반송을 정지하여 상기 처리실을 메인터넌스 가능한 상태로 하는 단계
    를 컴퓨터에 의해 기판 처리 장치에 실행시키는 프로그램이 기록된 기록 매체.
  19. 제18항에 있어서,
    상기 메인터넌스 예약 정보를 접수한 후, 상기 격납 용기에 격납된 모든 기판의 기판 처리가 완료될 때까지 상기 처리실에서의 기판 처리를 계속하는 기록 매체.
  20. 삭제
KR1020190113336A 2019-07-23 2019-09-16 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 KR102356863B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2019-135109 2019-07-23
JP2019135109A JP6956147B2 (ja) 2019-07-23 2019-07-23 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
KR20210011861A KR20210011861A (ko) 2021-02-02
KR102356863B1 true KR102356863B1 (ko) 2022-01-27

Family

ID=68713901

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190113336A KR102356863B1 (ko) 2019-07-23 2019-09-16 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Country Status (5)

Country Link
US (5) US10651068B1 (ko)
JP (1) JP6956147B2 (ko)
KR (1) KR102356863B1 (ko)
CN (1) CN110544621B (ko)
TW (1) TWI720616B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11823932B2 (en) 2020-08-26 2023-11-21 Samsung Electronics Co., Ltd. Substrate processing system and substrate processing apparatus
CN112410765A (zh) * 2020-11-05 2021-02-26 宣城睿晖宣晟企业管理中心合伙企业(有限合伙) 一种团簇式设备控制方法及装置及存储介质
CN117321740A (zh) * 2021-12-22 2023-12-29 东京毅力科创株式会社 基板处理装置的维护方法和基板处理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001028327A (ja) 1999-07-14 2001-01-30 Canon Inc デバイス製造装置
JP2003338446A (ja) * 2002-05-21 2003-11-28 Canon Inc 半導体露光装置及び露光装置管理システム
JP2005276060A (ja) * 2004-03-26 2005-10-06 Matsushita Electric Ind Co Ltd 半導体製造設備の管理装置および管理方法
JP2013065736A (ja) 2011-09-19 2013-04-11 Denso Corp 製造システム
JP2014116341A (ja) 2012-12-06 2014-06-26 Hitachi Kokusai Electric Inc 基板処理システム及び基板処理装置の縮退運用方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5599767A (en) 1979-01-26 1980-07-30 Hitachi Ltd Iil type semiconductor device
JPH10270535A (ja) 1997-03-25 1998-10-09 Nikon Corp 移動ステージ装置、及び該ステージ装置を用いた回路デバイス製造方法
US6889110B1 (en) * 1999-10-01 2005-05-03 Novellus Systems, Inc. Operational lists for simultaneous wafer scheduling and system event scheduling
US7043318B1 (en) * 2001-10-23 2006-05-09 Advanced Micro Devices, Inc. Lot start agent that determines quantity and timing for lot starts
US7512454B1 (en) 2002-05-31 2009-03-31 Advanced Micro Devices, Inc. Display unit with processor and communication controller
JP4522158B2 (ja) * 2004-06-15 2010-08-11 キヤノン株式会社 露光装置およびデバイス製造方法
JP4513102B2 (ja) 2006-02-06 2010-07-28 東京エレクトロン株式会社 処理装置における処理器具の交換方法及び交換用プログラム
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP2010098053A (ja) * 2008-10-15 2010-04-30 Tokyo Electron Ltd クリーニング方法及び記録媒体
KR101930981B1 (ko) 2011-11-25 2018-12-19 도쿄엘렉트론가부시키가이샤 처리 장치군 컨트롤러, 생산 처리 시스템, 처리 장치군 제어 방법, 생산 효율화 시스템, 생산 효율화 장치 및 생산 효율화 방법
JP6159536B2 (ja) * 2012-03-05 2017-07-05 株式会社日立国際電気 基板処理装置、基板処理装置の保守方法及び移載方法並びにプログラム
TW201443984A (zh) * 2013-02-05 2014-11-16 Hitachi Int Electric Inc 清洗方法、半導體裝置之製造方法、基板處理裝置、以及記錄媒體及清洗結束判定方法
TWI653585B (zh) * 2013-08-05 2019-03-11 應用材料股份有限公司 用於在預測系統中最佳化獲利的方法及裝置
US20150050812A1 (en) * 2013-08-13 2015-02-19 Globalfoundries Inc. Wafer-less auto clean of processing chamber
JP6186000B2 (ja) * 2013-08-27 2017-08-23 株式会社日立国際電気 基板処理装置のメンテナンス方法、半導体装置の製造方法、基板処理装置、及び基板処理装置のメンテナンスプログラム
WO2015125733A1 (ja) * 2014-02-24 2015-08-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102044617B1 (ko) * 2015-03-31 2019-11-13 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20160342147A1 (en) * 2015-05-19 2016-11-24 Applied Materials, Inc. Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
JP6499563B2 (ja) 2015-11-06 2019-04-10 株式会社Screenホールディングス 基板処理装置のスケジュール作成方法及びそのプログラム
JP2019052339A (ja) * 2017-09-13 2019-04-04 東京エレクトロン株式会社 排気管のクリーニング方法
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001028327A (ja) 1999-07-14 2001-01-30 Canon Inc デバイス製造装置
JP2003338446A (ja) * 2002-05-21 2003-11-28 Canon Inc 半導体露光装置及び露光装置管理システム
JP2005276060A (ja) * 2004-03-26 2005-10-06 Matsushita Electric Ind Co Ltd 半導体製造設備の管理装置および管理方法
JP2013065736A (ja) 2011-09-19 2013-04-11 Denso Corp 製造システム
JP2014116341A (ja) 2012-12-06 2014-06-26 Hitachi Kokusai Electric Inc 基板処理システム及び基板処理装置の縮退運用方法

Also Published As

Publication number Publication date
US20210028042A1 (en) 2021-01-28
US11342212B2 (en) 2022-05-24
US11749550B2 (en) 2023-09-05
CN110544621A (zh) 2019-12-06
KR20210011861A (ko) 2021-02-02
US20230360942A1 (en) 2023-11-09
US20220115254A1 (en) 2022-04-14
US10651068B1 (en) 2020-05-12
TWI720616B (zh) 2021-03-01
US11355372B2 (en) 2022-06-07
TW202105576A (zh) 2021-02-01
JP2021019142A (ja) 2021-02-15
US20210028041A1 (en) 2021-01-28
JP6956147B2 (ja) 2021-10-27
CN110544621B (zh) 2023-12-22

Similar Documents

Publication Publication Date Title
KR102035294B1 (ko) 기판 처리 장치
JP6270952B1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体。
JP6368732B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR101786878B1 (ko) 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체
KR101796542B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR102356863B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101971391B1 (ko) 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
JP2020053506A (ja) 基板処理装置、半導体装置の製造方法および記録媒体。
KR20170033773A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2019169663A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
KR20170077013A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI761758B (zh) 半導體裝置的製造方法、基板處理裝置及記錄媒體
TWI775144B (zh) 基板處理裝置、半導體裝置的製造方法及程式
KR20210080255A (ko) 기판 처리 장치, 기판 처리 시스템, 반도체 장치의 제조 방법, 및 기록매체
US20240047233A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR102425483B1 (ko) 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant