KR101796542B1 - 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR101796542B1
KR101796542B1 KR1020150185537A KR20150185537A KR101796542B1 KR 101796542 B1 KR101796542 B1 KR 101796542B1 KR 1020150185537 A KR1020150185537 A KR 1020150185537A KR 20150185537 A KR20150185537 A KR 20150185537A KR 101796542 B1 KR101796542 B1 KR 101796542B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
processing
gas
numbered
Prior art date
Application number
KR1020150185537A
Other languages
English (en)
Other versions
KR20170038139A (ko
Inventor
나오후미 오하시
토시유키 키쿠치
? 마츠이
šœ 마츠이
타다시 타카사키
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20170038139A publication Critical patent/KR20170038139A/ko
Application granted granted Critical
Publication of KR101796542B1 publication Critical patent/KR101796542B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 복수의 처리실을 포함하는 처리 장치의 생산성을 향상시킨다.
기판을 처리하는 챔버; 상기 챔버를 짝수 대 구비하는 처리 유닛; 상기 처리 유닛이 복수 접속되는 진공 반송실; 상기 진공 반송실에 접속되는 로드록 실; 홀수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트; 상기 로드록 실과 상기 로드 포트 사이에 설치되고, 제1 반송 로봇을 포함하는 대기(大氣) 반송실; 상기 진공 반송실에 설치되고, 상기 로드록 실과 상기 짝수 대의 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇; 및 (a) X번째(X는 자연수)의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내의 비어 있는 상태의 n번째(n은 자연수)의 챔버에 반송한 후, 상기 m번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정; (b) 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 비어 있는 상태의 n번째의 챔버에 반송한 후이며, X+1번째의 상기 격납 용기에 수용된 상기 홀수 매의 기판 내의 최초의 2개의 기판을 m+1번째의 처리 유닛 내의 챔버에 반송하고, 상기 m+1번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정; (c) 상기 (a) 공정 중에 상기 X번째의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판이 반송된 상기 n번째의 챔버 번호를 기록하는 공정; 및 (d) 상기 (b) 공정 후이며, 상기 (c) 공정에서 기록된 챔버 번호에 기초하여, 상기 X+1번째의 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 상기 m번째 처리 유닛의 n+1번째의 챔버에 반송하는 공정을 수행시키도록, 상기 제1 반송 로봇과 상기 제2 반송 로봇을 제어하는 제어부를 포함한다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
최근의 반도체 장치의 제조에서는 소(少) 로트(lot) 다품종화가 진행되고 있다. 소 로트 다품종의 제조에서 생산성의 향상이 요구되고 있다. 상기 요구에 대응하는 기법 중 하나로서 복수의 처리실을 포함하는 매엽식(枚葉式) 장치의 생산성을 향상시키는 방법이 있다.
처리 장치에 설치된 처리실의 수와 처리 매수의 불일치에 의해 생산성이 저하하는 과제가 있다.
본 발명은 복수의 처리실을 포함하는 처리 장치의 생산성을 향상시키는 것이 가능한 기술을 제공하는 것을 목적으로 한다.
본 발명의 일 형태에 의하면, 기판을 처리하는 챔버; 상기 챔버를 짝수 대 구비하는 처리 유닛; 상기 처리 유닛이 복수 접속되는 진공 반송실; 상기 진공 반송실에 접속되는 로드록 실; 홀수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트; 상기 로드록 실과 상기 로드 포트 사이에 설치되고, 제1 반송 로봇을 포함하는 대기(大氣) 반송실; 상기 진공 반송실에 설치되고, 상기 로드록 실과 상기 짝수 대의 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇; 및 (a) X번째(X는 자연수)의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내의 비어 있는 상태의 n번째(n은 자연수)의 챔버에 반송한 후, 상기 m번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정; (b) 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 비어 있는 상태의 n번째의 챔버에 반송한 후이며, X+1번째의 상기 격납 용기에 수용된 상기 홀수 매의 기판 내의 최초의 2개의 기판을 m+1번째의 처리 유닛 내의 챔버에 반송하고, 상기 m+1번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정; (c) 상기 (a) 공정 중에 상기 X번째의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판이 반송된 상기 n번째의 챔버 번호를 기록하는 공정; 및 (d) 상기 (b) 공정 후이며, 상기 (c) 공정에서 기록된 챔버 번호에 기초하여, 상기 X+1번째의 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 상기 m번째 처리 유닛의 n+1번째의 챔버에 반송하는 공정을 수행시키도록, 상기 제1 반송 로봇과 상기 제2 반송 로봇을 제어하는 제어부를 포함하는 기술이 제공된다.
본 발명에 따른 기술에 의하면, 복수의 처리실을 포함하는 처리 장치에서의 생산성을 향상시키는 것이 가능해진다.
도 1은 일 실시 형태에 따른 기판 처리 시스템의 횡단면(橫斷面)의 개략도.
도 2는 일 실시 형태에 따른 기판 처리 시스템의 종단면(縱斷面)의 개략도.
도 3은 일 실시 형태에 따른 기판 처리 시스템의 진공 반송 로봇의 개략도.
도 4는 일 실시 형태에 따른 기판 처리 장치의 개략 구성도.
도 5는 일 실시 형태에 따른 챔버의 종단면의 개략도.
도 6은 일 실시 형태에 따른 기판 처리 시스템의 컨트롤러의 개략 구성도.
도 7은 일 실시 형태에 따른 제1 기판 처리 공정의 플로우 차트.
도 8은 일 실시 형태에 따른 제1 기판 처리 공정의 시퀀스도.
도 9는 일 실시 형태에 따른 제2 기판 처리 공정의 플로우 차트.
도 10은 일 실시 형태에 따른 제2 기판 처리 공정의 시퀀스도.
도 11은 종래의 반송 시퀀스에 따른 도면.
도 12는 일 실시 형태에 따른 25매 반송 시퀀스(a)의 예.
도 13은 일 실시 형태에 따른 13매 반송 시퀀스(a)의 예.
도 14는 일 실시 형태에 따른 25매 반송 시퀀스(b)의 예.
도 15는 일 실시 형태에 따른 13매 반송 시퀀스(b)의 예.
도 16은 일 실시 형태에 따른 25매 반송 시퀀스(c)의 예.
도 17은 일 실시 형태에 따른 13매 반송 시퀀스(c)의 예.
<제1 실시 형태>
이하, 본 발명의 제1 실시 형태를 도면에 기초하여 설명한다.
이하, 본 실시 형태에 따른 기판 처리 시스템을 설명한다.
(1) 기판 처리 시스템의 구성
본 발명의 일 실시 형태에 따른 기판 처리 시스템의 개요 구성을 도 1 내지 도 4를 이용하여 설명한다. 도 1은 본 실시 형태에 따른 기판 처리 시스템의 구성예를 도시하는 횡단면도다. 도 2는 본 실시 형태에 따른 기판 처리 시스템의 구성예를 도시하는 도 1의 α-α'에서의 종단면도다. 도 3은 도 1의 암의 상세를 설명한 설명도다. 도 4는 도 1의 β-β'의 종단면도이며 프로세스 모듈에 공급하는 가스 공급계를 설명하는 설명도다. 도 5는 프로세스 모듈에 설치되는 챔버를 설명하는 설명도다.
도 1 및 도 2에서 본 발명이 적용되는 기판 처리 시스템(1000)은 웨이퍼(200)를 처리하는 것으로, IO스테이지(1100), 대기 반송실(1200), 로드록 실(1300), 진공 반송실(1400), 프로세스 모듈(110)로 주로 구성된다. 다음으로 각 구성에 대하여 구체적으로 설명한다. 도 1의 설명에서 전후좌우는 X1 방향이 오른쪽, X2 방향이 왼쪽, Y1 방향이 앞쪽, Y2 방향이 뒤쪽이 된다. 또한 웨이퍼(200)의 표면에는 반도체 디바이스가 형성되고, 기판 처리 시스템(1000)에서 반도체 디바이스를 제조하기 위한 공정이 수행된다. 여기서 반도체 디바이스란 집적 회로 및 전자 소자 단체(單體)(저항 소자, 코일 소자, 커패시터 소자, 반도체 소자) 중 어느 하나 또는 복수를 포함한다. 또한 반도체 디바이스의 제조 도중에 필요한 더미 막이어도 좋다.
(대기 반송실·IO스테이지)
기판 처리 시스템(1000)의 바로 앞에는 IO스테이지(1100)(로드 포트)가 설치된다. IO스테이지(1100) 상에는 복수의 포드(1001)가 탑재된다. 포드(1001)는 실리콘(Si) 기판 등의 기판(200)을 반송하는 캐리어로서 이용되고, 포드(1001) 내에는 미(未)처리의 기판(200)(웨이퍼)이나 처리 완료된 기판(200)이 각각 수평 자세로 복수 격납되도록 구성된다.
포드(1001)에는 캡(1120)이 설치되고, 캡(1120)은 후술하는 포드 오프너(1210)에 의해 개폐된다. 포드 오프너(1210)는 IO스테이지(1100)에 재치된 포드(1001)의 캡(1120)을 개폐하고, 기판 출입구를 개방·폐쇄하는 것에 의해 포드(1001)에 기판(200)이 출입 가능하도록 한다. 포드(1001)는 도시되지 않는 공정 내 반송 장치(RGV)에 의해 IO스테이지(1100)에 대하여 공급 및 배출된다.
IO스테이지(1100)는 대기 반송실(1200)에 인접한다. 대기 반송실(1200)의 IO스테이지(1100)가 접하는 면과는 다른 면에는 후술하는 로드록 실(1300)이 연결된다.
대기 반송실(1200) 내에는 기판(200)을 이재(移載)하는 제1 반송 로봇으로서의 대기 반송 로봇(1220)이 설치된다. 도 2에 도시되듯이 대기 반송 로봇(1220)은 대기 반송실(1200)에 설치된 엘리베이터(1230)에 의해 승강되도록 그리고 리니어 액츄에이터(1240)에 의해 좌우 방향에 왕복 이동되도록 구성된다.
도 2에 도시되듯이 대기 반송실(1200)의 상부(上部)에는 클린 에어를 공급하는 클린 유닛(1250)이 설치된다. 또한 도 1에 도시되듯이 대기 반송실(1200)의 좌측에는 기판(200)에 형성되는 노치(notch) 또는 오리엔테이션 플랫을 맞추는 장치(1260)(이하, 프리얼라이너라고 부른다)가 설치된다.
도 1 및 도 2에 도시되듯이 대기 반송실(1200)의 광체(1270)(筐體)의 전측(前側)에는 기판(200)을 대기 반송실(1200)에 대하여 반입 반출하기 위한 기판 반입출구(1280)와, 포드 오프너(1210)가 설치된다. 기판 반입출구(1280)를 개재하여 포드 오프너(1210)와 반대측, 즉 광체(1270)의 외측에는 IO스테이지(1100)(로드 포트)가 설치된다.
대기 반송실(1200)의 광체(1270)의 후측(後側)에는 웨이퍼(200)를 로드록 실(1300)에 반입 반출하기 위한 기판 반입출구(1290)가 설치된다. 기판 반입출구(1290)는 후술하는 게이트 밸브(1330)에 의해 개방·폐쇄하는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
(로드 록(L/L)실)
로드록 실(1300)은 대기 반송실(1200)에 인접한다. 로드록 실(1300)을 구성하는 광체(1310)가 포함하는 면 중 대기 반송실(1200)과 접하는 면과는 다른 면에는 후술하는 바와 같이 진공 반송실(1400)이 배치된다. 로드록 실(1300)은 대기 반송실(1200)의 압력과 진공 반송실(1400)의 압력에 따라서 광체(1310) 내의 압력이 변동되기 때문에 부압에 견딜 수 있는 구조로 구성된다.
광체(1310) 중 진공 반송실(1400)과 인접하는 쪽에는 기판 반입출구(1340)가 설치된다. 기판 반입출구(1340)는 게이트 밸브(1350)에 의해 개방·폐쇄하는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
또한 로드록 실(1300) 내에는 웨이퍼(200)를 재치하는 재치면(1311)을 적어도 2개 포함하는 기판 재치대(1320)가 설치된다. 여기서 2개의 재치면은 제1 재치면(1311a)과 제2 재치면(1311b)으로 한다. 기판 재치면(1311) 사이의 거리는 후술하는 진공 반송 로봇(1700)이 포함하는 핑거 사이의 거리에 따라 설정된다.
(진공 반송실)
기판 처리 시스템(1000)은 부압 하에서 기판(200)이 반송되는 반송 공간이 되는 반송실로서의 진공 반송실(1400)(트랜스퍼 모듈)을 구비한다. 진공 반송실(1400)을 구성하는 광체(1410)는 평면시가 오각형으로 형성되고, 오각형의 각 변(邊)에는 로드록 실(1300) 및 웨이퍼(200)를 처리하는 프로세스 모듈(110a 내지 110d)이 연결된다. 진공 반송실(1400)의 대략 중앙부에는 부압 하에서 기판(200)을 이재(반송)하는 제2 반송 로봇으로서의 진공 반송 로봇(1700)이 플랜지(1430)를 기부(基部)로서 설치된다. 또한 여기서는 진공 반송실(1400)을 오각형의 예로 제시하지만, 사각형이나 육각형 등의 다각형이어도 좋다. 또한 바람직하게는 프로세스 모듈은 짝수 대 설치된다.
광체(1410)의 측벽 중 로드록 실(1300)과 인접하는 측에는 기판 반입출구(1420)가 설치된다. 기판 반입출구(1420)는 게이트 밸브(1350)에 의해 개방·폐쇄하는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
진공 반송실(1400) 내에 설치되는 진공 반송 로봇(1700)은 도 2에 도시하는 바와 같이 엘리베이터(1450) 및 플랜지(1430)에 의해 진공 반송실(1400)의 기밀성을 유지하면서 승강할 수 있도록 구성된다. 진공 반송 로봇(1700)의 구체적인 구성은 후술한다. 엘리베이터(1450)는 진공 반송 로봇(1700)이 포함하는 2개의 암(1800, 1900)을 각각 독립하여 승강 가능하도록 구성된다.
광체(1410)의 천정(天井)이며 광체(1410) 내에 불활성 가스를 공급하기 위한 불활성 가스 공급공(1460)이 설치된다. 불활성 가스 공급공(1460)에는 불활성 가스 공급관(1510)이 설치된다. 불활성 가스 공급관(1510)에는 상류부터 순서대로 불활성 가스원(1520), 매스 플로우 컨트롤러(1530), 밸브(1540)가 설치되고, 광체(1410) 내에 소정의 유량으로 불활성 가스를 공급 가능하도록 구성된다.
주로 불활성 가스 공급관(1510), 매스 플로우 컨트롤러(1530), 밸브(1540)로 진공 반송실(1400)에서의 불활성 가스 공급부(1500)가 구성된다. 또한 불활성 가스원(1520), 가스 공급공(1460)을 불활성 가스 공급부(1500)에 포함시켜도 좋다.
광체(1410)의 저벽(底壁)에는 광체(1410)의 분위기를 배기하기 위한 배기공(1470)이 설치된다. 배기공(1470)에는 배기관(1610)이 설치된다. 배기관(1610)에는 상류부터 순서대로 압력 제어기인 APC(1620)(Auto Pressure Controller), 펌프(1630)가 설치된다.
주로 배기관(1610), APC(1620)로 진공 반송실(1400)에서의 가스 배기부(1600)가 구성된다. 또한 펌프(1630), 배기공(1470)을 가스 배기부에 포함시켜도 좋다.
불활성 가스 공급부(1500), 가스 배기부(1600)의 협동에 의해 진공 반송실(1400)의 분위기가 제어된다. 예컨대 광체(1410) 내의 압력이 제어된다.
도 1에 도시되듯이 광체(1410)의 5매의 측벽 중 로드록 실(1300)이 설치되지 않은 측에는 웨이퍼(200)에 원하는 처리를 수행하는 프로세스 모듈(110a, 110b, 110c, 110d)이 연결된다.
프로세스 모듈(110a, 110b, 110c, 110d)의 각각에는 챔버(100)가 설치된다. 구체적으로는 프로세스 모듈(110a)에는 챔버(100a, 100b)가 설치된다. 프로세스 모듈(110b)에는 챔버(100c, 100d)가 설치된다. 프로세스 모듈(110c)에는 챔버(100e, 100f)가 설치된다. 프로세스 모듈(110d)에는 챔버(100g, 100h)가 설치된다. 또한 바람직하게는 각 프로세스 모듈에 챔버는 짝수 대 설치된다.
광체(1410)의 측벽 중 각 챔버(100)와 대향하는 벽에는 기판 반입출구(1480)가 설치된다. 예컨대 도 2에 도시하는 바와 같이 챔버(100e)와 대향하는 벽에는 기판 반입출구(1480e)가 설치된다.
도 2 중 챔버(100e)를 챔버(100a)로 치환한 경우, 챔버(100a)와 대향하는 벽에는 기판 반입출구(1480a)가 설치된다.
마찬가지로 챔버(100e)를 챔버(100b)로 치환한 경우, 챔버(100b)와 대향하는 벽에는 기판 반입출구(1480b)가 설치된다.
게이트 밸브(1490)는 도 1에 도시되듯이 처리실마다 설치된다. 구체적으로는 챔버(100a) 사이에는 게이트 밸브(1490a)가 설치되고, 챔버(100b) 사이에는 게이트 밸브(1490b)가 설치된다. 챔버(100c) 사이에는 게이트 밸브(1490c)가 설치되고, 챔버(100d) 사이에는 게이트 밸브(1490d)가 설치된다. 챔버(100e) 사이에는 게이트 밸브(1490)e)가 설치되고, 챔버(100f) 사이에는 게이트 밸브(1490f)가 설치된다. 챔버(100g) 사이에는 게이트 밸브(1490g)가 설치되고, 챔버(100h) 사이에는 게이트 밸브(1490h)가 설치된다.
각 게이트 밸브(1490)에 의해 개방·폐쇄하는 것에 의해 기판 반입출구(1480)를 개재한 웨이퍼(200)의 출입을 가능하게 한다.
계속해서 진공 반송실(1400)에 탑재되는 진공 반송 로봇(1700)에 대하여 도 3을 이용하여 설명한다. 도 3은 도 1의 진공 반송 로봇(1700)을 확대한 도면이다.
진공 반송 로봇(1700)은 2개의 암(1800, 1900)을 구비한다. 암(1800)은 선단(先端)에 2개의 엔드이펙터(1810)와 엔드이펙터(1820)가 설치된 포크 포션(1830)(Fork portion)을 포함한다. 포크 포션(1830)의 근원에는 미들 포션(1840)이 축(1850)을 개재하여 접속된다.
엔드이펙터(1810)와 엔드이펙터(1820)에는 각각의 프로세스 모듈(110)로부터 반출되는 웨이퍼(200)가 재치된다. 도 2에서는 프로세스 모듈(110c)로부터 반출되는 웨이퍼(200)가 재치되는 예를 도시한다.
미들 포션(1840) 중 포크 포션(1830)과 다른 개소(箇所)에는 보텀 포션(1860)이 축(1870)을 개재하여 접속된다. 보텀 포션(1860)은 축(1880)을 개재하여 플랜지(1430)에 배치된다.
암(1900)은 선단에 2개의 엔드이펙터(1910)와 엔드이펙터(1920)가 설치된 포크 포션(1930)을 포함한다. 포크 포션(1930)의 근원에는 미들 포션(1940)이 축(1950)을 개재하여 접속된다.
엔드이펙터(1910)와 엔드이펙터(1920)에는 로드록 실(1300)로부터 반출되는 웨이퍼(200)가 재치된다.
미들 포션(1940) 중 포크 포션(1930)과 다른 개소에는 보텀 포션(1960)이 축(1970)을 개재하여 접속된다. 보텀 포션(1970)은 축(1980)을 개재하여 플랜지(1430)에 배치된다.
엔드이펙터(1810), 엔드이펙터(1820)는 엔드이펙터(1910), 엔드이펙터(1920)보다 높은 위치에 배치된다.
진공 반송 로봇(1700)은 축을 중심으로 한 회전이나 암의 연장이 가능하다.
또한 진공 반송 로봇(1700)은 재치면(1311a)에 반송된 기판을 챔버ch1(100a), 챔버ch3(100c), 챔버ch5(100e), 챔버ch7(100g)에 반송하고, 재치면(1311b)에 반송된 기판을 챔버ch2(100b), 챔버ch4(100d), 챔버ch6(100f), 챔버ch8(100h)에 반송되도록 구성된다.
(프로세스 모듈PM)
계속해서 각 프로세스 모듈(110)(처리 유닛) 내의 프로세스 모듈(110a)에 대하여 도 1, 도 2, 도 4를 예로 들어 설명한다. 도 4는 프로세스 모듈(110a)과 프로세스 모듈(110a)에 접속되는 가스 공급부와, 프로세스 모듈(110a)에 접속되는 가스 배기부의 관련을 설명하는 설명도다.
여기서는 프로세스 모듈(110a)을 예로 들었지만, 다른 프로세스 모듈(110b), 프로세스 모듈(110c), 프로세스 모듈(110d)에서도 마찬가지의 구조이기 때문에 여기서는 설명을 생략한다.
도 4에 도시되듯이 프로세스 모듈(110a)에는 웨이퍼(200)를 처리하는 챔버가 2개 설치된다. 여기서는 챔버(100a)와 챔버(100b)가 설치된다. 챔버(100a)와 챔버(100b) 사이에는 격벽(2040a)이 설치되어, 각각의 챔버 내의 분위기가 혼재되지 않도록 구성된다.
도 2에 도시되듯이 챔버(100e)와 진공 반송실(1400)이 인접하는 벽에는 기판 반입출구(2060e)가 설치되고, 마찬가지로 챔버(100a)와 진공 반송실(1400)이 인접하는 벽에는 기판 반입출구(2060a)가 설치된다.
각 챔버(100)에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다.
프로세스 모듈(110a)에는 챔버(100a)와 챔버(100b) 각각에 처리 가스를 공급하는 가스 공급부가 접속된다. 가스 공급부는 제1 가스 공급부(처리 가스 공급부), 제2 가스 공급부(반응 가스 공급부), 제3 가스 공급부(제1 퍼지 가스 공급부), 제4 가스 공급부(제2 퍼지 가스 공급부) 등으로 구성된다. 각 가스 공급부의 구성에 대하여 설명한다.
(제1 가스 공급부)
도 4에 도시하는 바와 같이 처리 가스원(113)으로부터 프로세스 모듈(110a) 사이에는 버퍼 탱크(114)와 매스 플로우 컨트롤러(MFC)(115a, 115b)와, 처리실측 밸브[116(116a, 116b)]가 각각 설치된다. 또한 이들은 처리 가스 공통관(112)이나, 처리 가스 공급관(111a, 111b) 등으로 접속된다. 이들 처리 가스 공통관(112), MFC(115a, 115b), 처리실측 밸브[116(116a, 116b)], 제1 가스 공급관(처리 가스 공급관)(111a, 111b)으로 제1 가스 공급부가 구성된다. 또한 처리 가스원(113)을 제1 가스 공급부에 포함시키도록 구성해도 좋다. 또한 기판 처리 시스템에 설치되는 프로세스 모듈의 수에 따라 마찬가지의 구성을 증감시켜서 구성해도 좋다.
여기서 MFC는 전기적인 질량 유량계와 유량 제어를 조합하여 구성된 유량 제어 장치이어도 좋고, 니들 밸브나 오리피스 등의 유량 제어 장치이어도 좋다. 후술하는 MFC도 마찬가지로 구성되어도 좋다. 니들 밸브나 오리피스 등의 유량 제어 장치로 구성한 경우, 가스 공급을 고속으로 펄스적으로 절체(切替)하는 것이 용이해진다.
(제2 가스 공급부)
도 4에 도시하는 바와 같이 반응 가스원(123)부터 프로세스 모듈(110a) 사이에는 활성화부로서 리모트 플라즈마 유닛(RPU)(124), MFC(125a, 125b), 처리실측 밸브[126(126a, 126b)]가 설치된다. 각 구성은 반응 가스 공통관(122)과 제2 가스 공급관(반응 가스 공급관)(121a, 121b) 등으로 접속된다. RPU(124), MFC(125a, 125b), 처리실측 밸브[126(126a, 126b)], 반응 가스 공통관(122), 반응 가스 공급관(121a, 121b) 등으로 제2 가스 공급부가 구성된다. 또한 반응 가스 공급원(123)을 제2 가스 공급부에 포함시키도록 구성해도 좋다. 또한 기판 처리 시스템에 설치되는 프로세스 모듈의 수에 따라 마찬가지의 구성을 증감시켜서 구성해도 좋다.
또한 처리실측 밸브[126(밸브(126a), 126b)] 앞에 벤트 라인(171a, 171b)과 벤트 밸브[170(170a, 170b)]를 설치하여 반응 가스를 배기하도록 구성해도 좋다. 벤트 라인을 설치하는 것에 의해 실활(失活)한 반응 가스 또는 반응성이 저하된 반응 가스를 처리실에 통과시키지 않고 배출할 수 있다.
〔제3 가스 공급부(제1 퍼지 가스 공급부)〕
도 4에 도시하는 바와 같이 제1 퍼지 가스(불활성 가스)원(133)으로부터 프로세스 모듈(110a) 사이에는 MFC(135a, 135b), 처리실측 밸브[136(136a, 136b)], 밸브(176a, 176b, 186a, 186b) 등이 설치된다. 이 각 구성은 퍼지 가스(불활성 가스) 공통관(132), 퍼지 가스(불활성 가스) 공급관(131a, 131b) 등에 의해 접속된다. 이들 MFC(135a, 135b), 처리실측 밸브[136(136a, 136b)], 불활성 가스 공통관(132), 불활성 가스 공급관(131a, 131b) 등으로 제3 가스 공급부가 구성된다. 또한 퍼지 가스(불활성 가스)원(133)을 제3 가스 공급부(제1 퍼지 가스 공급부)에 포함시키도록 구성해도 좋다. 또한 기판 처리 시스템에 설치되는 프로세스 모듈의 수에 따라 마찬가지의 구성을 증감시켜서 구성해도 좋다.
〔제4 가스 공급부(제2 퍼지 가스 공급부)〕
도 4에 도시하는 바와 같이 제4 가스 공급부는 처리 가스 공급관(111a, 111b), 반응 가스 공급관(121a, 121b) 각각을 개재하여 각 처리실(110a, 110b)에 불활성 가스를 공급 가능하도록 구성된다. 제2 퍼지 가스(불활성 가스)원(143)으로부터 각 공급관 사이에는 제2 퍼지 가스 공급관(141a, 141b, 151a, 151b), MFC(145a, 145b, 155a, 155b), 밸브(146a, 146b, 156a, 156b) 등이 설치된다. 이 구성에 의해 제4 가스 공급부(제2 퍼지 가스 공급부)가 구성된다. 또한 여기서는 제3 가스 공급부와 제4 가스 공급부의 가스원을 별도로 구성했지만, 통합하여 1개만 설치하도록 구성해도 좋다.
또한 프로세스 모듈(110a)에는 챔버(100a) 내의 분위기와 챔버(100b) 내의 분위기를 각각 배기하는 가스 배기부가 접속된다. 도 4에 도시하는 바와 같이 배기 펌프(223a)와 챔버(100a, 100b) 사이에는 APC(222a)(Auto Pressure Controller), 공통 가스 배기관(225a), 처리실 배기관(224a, 224b) 등이 설치된다. 이들 APC(222a), 공통 공급 가스 배기관(225a), 처리실 배기관(224a, 224b)으로 가스 배기부가 구성된다. 이와 같이 챔버(100a) 내의 분위기와 챔버(100b) 내의 분위기는 1개의 배기 펌프로 배기되도록 구성된다. 또한 처리실 배기관(224a, 224b) 각각의 배기 컨덕턴스를 조정 가능한 컨덕턴스 조정부(226a, 226b)를 설치해도 좋고, 이들을 가스 배기부의 일 구성으로 해도 좋다. 또한 배기 펌프(223a)를 가스 배기부의 일 구성으로 해도 좋다.
다음으로 본 실시 형태에 따른 챔버(100)에 대하여 설명한다. 챔버(100)는 도 5에 도시되듯이 매엽식 기판 처리 장치로서 구성된다. 챔버에서는 반도체 디바이스 제조의 일 공정이 수행된다. 또한 챔버(100a, 100b, 100c, 100d, 100e, 100f, 100g, 100h)는 도 5에 도시하는 구성과 마찬가지로 구성된다. 여기서는 챔버(100a)를 예로 들어 설명한다.
도 5에 도시하는 바와 같이 챔버(100)는 처리 용기(202)를 구비한다. 처리 용기(202)는 예컨대 횡단면이 원형이며 편평한 밀폐 용기로서 구성된다. 또한 처리 용기(202)는 예컨대 알루미늄(Al)이나 스텐레스(SUS) 등의 금속 재료 또는 석영에 의해 구성된다. 처리 용기(202) 내에는 기판으로서의 실리콘 웨이퍼 등의 웨이퍼(200)를 처리하는 처리 공간(201)(처리실), 반송 공간(203)이 형성된다. 처리 용기(202)는 상부 용기(202a)와 하부 용기(202b)로 구성된다. 상부 용기(202a)와 하부 용기(202b) 사이에는 칸막이 판(204)이 설치된다. 상부 용기(202a)에 둘러싸인 공간이며 칸막이 판(204)보다 상방(上方)의 공간을 처리 공간(201)(처리실이라고도 부른다)이라고 부르고, 하부 용기(202b)에 둘러싸여진 공간이며 칸막이 판보다 하방(下方)의 공간을 반송 공간이라고 부른다.
하부 용기(202b)의 측면에는 게이트 밸브(1490)에 인접한 기판 반입출구(1480)가 설치되고, 웨이퍼(200)는 기판 반입출구(1480)를 개재하여 도시되지 않는 반송실 사이를 이동한다. 하부 용기(202b)의 저부(底部)에는 리프트 핀(207)이 복수 설치된다. 또한 하부 용기(202b)는 접지(接地)된다.
처리실(201) 내에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다. 기판 지지부(210)는 웨이퍼(200)를 재치하는 재치면(211)과, 재치면(211)을 표면에 가지는 기판 재치대(212)를 포함한다. 또한 기판 지지부(210)에는 가열부로서의 히터(213)를 설치해도 좋다. 가열부를 설치하는 것에 의해 기판을 가열시켜 기판 상에 형성되는 막의 품질을 향상시킬 수 있다. 기판 재치대(212)에는 리프트 핀(207)이 관통하는 관통공(214)이 리프트 핀(207)과 대응하는 위치에 각각 설치되어도 좋다.
기판 재치대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는 처리 용기(202)의 저부를 관통하고, 또한 처리 용기(202)의 외부에서 승강 기구(218)에 접속된다. 승강 기구(218)을 작동시켜서 샤프트(217) 및 지지대(212)를 승강시키는 것에 의해 기판 재치면(211) 상에 재치되는 웨이퍼(200)를 승강시키는 것이 가능하도록 이루어진다. 또한 샤프트(217) 하단부의 주위는 벨로즈(219)에 의해 피복되고, 처리실(201) 내는 기밀하게 보지(保持)된다.
기판 재치대(212)는 웨이퍼(200)의 반송 시에는 기판 재치면(211)이 기판 반입출구(1480)의 위치(웨이퍼 반송 위치)가 되도록 기판 지지대까지 하강하고, 웨이퍼(200)의 처리 시에는 도 5에서 도시되듯이 웨이퍼(200)가 처리실(201) 내의 처리 위치(웨이퍼 처리 위치)까지 상승한다.
구체적으로는 기판 재치대(212)를 웨이퍼 반송 위치까지 하강시켰을 때에는 리프트 핀(207)의 상단부가 기판 재치면(211)의 상면으로부터 돌출하여 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 기판 재치대(212)를 웨이퍼 처리 위치까지 상승시켰을 때에는 리프트 핀(207)은 기판 재치면(211)의 상면으로부터 매몰하여 기판 재치면(211)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 리프트 핀(207)은 웨이퍼(200)와 직접 접촉하기 때문에 예컨대 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다. 또한 리프트 핀(207)에 승강 기구를 설치하여 기판 재치대(212)와 리프트 핀(207)이 상대적으로 움직이도록 구성해도 좋다.
(배기계)
처리실(201)[상부 용기(202a)]의 내벽에는 처리실(201)의 분위기를 배기하는 제1 배기부로서의 배기구(221)가 설치된다. 배기구(221)에는 처리실 배기관(224)이 접속되고, 밸브(227)가 순서대로 직렬로 접속된다. 주로 배기구(221), 처리실 배기관(224)에 의해 제1 배기부(배기 라인)가 구성된다. 또한 밸브(227)를 제1 배기부에 포함시키도록 구성해도 좋다.
(가스 도입구)
상부 용기(202a)의 측벽에는 처리실(201) 내에 각종 가스를 공급하기 위한 제1 가스 도입구(241a)가 설치된다. 제1 가스 도입구(241a)에는 제1 가스 공급관(111a)이 접속된다. 또한 처리실(201)의 상부에 설치되는 샤워 헤드(234)의 상면(천정벽)에는 처리실(201) 내에 각종 가스를 공급하기 위한 제2 가스 도입구(241b)가 설치된다. 제2 가스 도입구(241b)에는 제2 가스 공급관(121b)이 접속된다. 제1 가스 공급부의 일부로서 구성되는 제1 가스 도입구(241a) 및 제2 가스 공급부의 일부로서 구성되는 제2 가스 도입구(241b)에 접속되는 각 가스 공급 유닛의 구성에 대해서는 후술한다. 또한 제1 가스가 공급되는 제1 가스 도입구(241a)를 샤워 헤드(234)의 상면(천정벽)에 설치하고, 제1 가스를 제1 버퍼 공간(232a)의 중앙으로부터 공급하도록 구성해도 좋다. 중앙에서 공급하는 것에 의해 제1 버퍼 공간(232a) 내의 가스 흐름이 중심으로부터 외주를 향하여 흐르고, 공간 내의 가스 흐름을 균일하게 하여 웨이퍼(200)로의 가스 공급량을 균일화할 수 있다.
(가스 분산 유닛)
샤워 헤드(234)는 제1 버퍼실(공간)(232a), 제1 분산공(234a), 제2 버퍼실(공간)(232b) 및 제2 분산공(234b)에 의해 구성된다. 샤워 헤드(234)는 제2 가스 도입구(241b)와 처리실(201) 사이에 설치된다. 제1 가스 도입구(241a)로부터 도입되는 제1 가스는 샤워 헤드(234)의 제1 버퍼 공간(232a)(제1 분산부)에 공급된다. 또한 제2 가스 도입구(241b)는 샤워 헤드(234)의 덮개(231)에 접속되고, 제2 가스 도입구(241b)로부터 도입되는 제2 가스는 덮개(231)에 설치된 공(231a)을 개재하여 샤워 헤드(234)의 제2 버퍼 공간(232b)(제2 분산부)에 공급된다. 샤워 헤드(234)는 예컨대 석영, 알루미나, 스텐레스, 알루미늄 등의 재료로 구성된다.
또한 샤워 헤드(234)의 덮개(231)를 도전성이 있는 금속으로 형성하고, 제1 버퍼 공간(232a), 제2 버퍼 공간(232b) 또는 처리실(201) 내에 존재하는 가스를 여기(勵起)하기 위한 활성화부(여기부)로 해도 좋다. 이때 덮개(231)와 상부 용기(202a) 사이에는 절연 블록(233)이 설치되어, 덮개(231)와 상부 용기(202a) 사이를 절연한다. 활성화부로서의 전극[덮개(231)]에는 정합기(251)와 고주파 전원(252)가 접속되어 전자파(고주파 전력이나 마이크로파)를 공급 가능하도록 구성되어도 좋다.
제2 버퍼 공간(232b)에 공급된 제2 가스의 흐름을 형성하는 가스 가이드(235)가 설치되어도 좋다. 가스 가이드(235)는 공(231a)(孔)을 중심으로 하여 웨이퍼(200)의 지름 방향을 향함에 따라 지름이 커지는 원추 형상이다. 가스 가이드(235)의 하단의 수평 방향의 지름은 제1 분산공(234a) 및 제2 분산공(234b)의 단부(端部)보다 한층 더 외주까지 연장하여 형성된다.
제1 버퍼 공간(232a)의 내벽 상면에는 제1 버퍼 공간(232a)의 분위기를 배기하는 제1 샤워 헤드 배기부로서의 샤워 헤드 배기구(240a)가 설치된다. 샤워 헤드 배기구(240a)에는 샤워 헤드 배기관(236)이 접속되고, 배기관(236)에는 밸브(237x), 제1 버퍼 공간(232a) 내를 소정의 압력으로 제어하는 밸브(237)가 순서대로 직렬로 접속된다. 주로 샤워 헤드 배기구(240a), 밸브(237x), 배기관(236)에 의해 제1 샤워 헤드 배기부가 구성된다.
제2 버퍼 공간(232b)의 내벽 상면에는 제2 버퍼 공간(232b)의 분위기를 배기하는 제2 샤워 헤드 배기부로서의 샤워 헤드 배기구(240b)가 설치된다. 샤워 헤드 배기구(240b)에는 샤워 헤드 배기관(236)이 접속되고, 샤워 헤드 배기관(236)에는 밸브(237y), 제2 버퍼 공간(232b) 내를 소정의 압력으로 제어하는 밸브(237)가 순서대로 직렬로 접속된다. 주로 샤워 헤드 배기구(240b), 밸브(237y), 샤워 헤드 배기관(236)에 의해 제2 샤워 헤드 배기부가 구성된다.
계속해서 제1 가스 공급부인 제1 버퍼 공간(232a)과 제2 가스 공급부인 제2 버퍼 공간(232b)의 관계에 대하여 설명한다. 제1 버퍼 공간(232a)으로부터 처리실(201)에 복수의 분산공(234a)이 연장한다. 제2 버퍼 공간(232b)으로부터 처리실(201)에 복수의 분산공(234b)이 연장한다. 제1 버퍼 공간(232a)의 상측에 제2 버퍼 공간(232b)이 설치된다. 이에 따라 도 5에 도시하는 바와 같이 제1 버퍼 공간(232a) 내를 제2 버퍼 공간(232b)으로부터의 분산공(234b)(분산관)이 관통하도록 처리실(201)에 연장된다.
(공급계)
샤워 헤드(234)의 덮개(231)에 접속된 가스 도입공(241)에는 가스 공급부가 접속된다. 가스 공급부에서는 처리 가스, 반응 가스, 퍼지 가스가 공급된다.
(제어부)
도 5에 도시하는 바와 같이 챔버(100)는 챔버(100)의 각(各) 부(部)의 동작을 제어하는 컨트롤러(260)를 포함한다.
컨트롤러(260)의 개략을 도 6에 도시한다. 제어부(제어 수단)인 컨트롤러(260)는 CPU(260a)(Central Processing Unit), RAM(260b)(Random Access Memory), 기억 장치(260c), I/O 포트(260d)를 구비한 컴퓨터로서 구성된다. RAM(260b), 기억 장치(260c), I/O 포트(260d)는 내부 버스(260e)를 개재하여 CPU(260a)와 데이터를 교환 가능하도록 구성된다. 컨트롤러(260)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(261)나, 외부 기억 장치(262), 수신부(285) 등이 접속 가능하도록 구성된다.
기억 장치(260c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(260c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피, 기판(200)으로의 프로세스 레시피를 설정까지의 연산 과정에서 이용되는 처리 데이터 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(260)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로그램 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로그램 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(260b)는 CPU(260a)에 의해 판독된 프로그램, 연산 데이터, 처리 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(260d)는 게이트 밸브(1330, 1350, 1490), 승강 기구(218), 히터(213), 압력 조정기(222, 238), 진공 펌프(223), 정합기(251), 고주파 전원(252) 등에 접속된다. 또한 후술하는 대기 반송 로봇(1220), 진공 반송 로봇(1700), 로드록 실(1300), 매스 플로우 컨트롤러(MFC)[115(115a, 115b), 125(125a, 125b, 125x), 135(135a, 135b, 135x), 145(145a, 145b, 145x), 155(155a, 155b), 165(165a, 165b)], 밸브[237(237e, 237f)], 처리실측 밸브[116(116a, 116b), 126(126a, 126b), 136(136a, 136b), 176(176a, 176b), 186(186a, 186b)], 탱크측 밸브(160), 벤트 밸브[170(170a, 170b)], 리모트 플라즈마 유닛(RPU)(124) 등에도 접속되어도 좋다.
연산부로서의 CPU(260a)는 기억 장치(260c)로부터의 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(261)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(260c)로부터 프로세스 레시피를 판독하도록 구성된다. 또한 수신부(285)로부터 입력된 설정값과, 기억 장치(260c)에 기억된 프로세스 레시피나 제어 데이터를 비교·연산하여 연산 데이터를 산출 가능하도록 구성된다. 또한 연산 데이터로 대응하는 처리 데이터(프로세스 레시피)의 결정 처리 등을 실행 가능하도록 구성된다. 그리고 CPU(260a)는 판독된 프로세스 레시피의 내용을 따르도록 게이트 밸브[1330, 1350, 1490(1490a, 1490b, 1490c, 1490d, 1490e, 1490f, 1490g, 1490h)]의 개폐 동작, 승강 기구(218)의 승강 동작, 히터(213)로의 전력 공급 동작, 압력 조정기[222(222a), 238)]의 압력 조정 동작, 진공 펌프(223)의 ON/OFF 제어, 리모트 플라즈마 유닛(124)의 가스의 활성화 동작, MFC[115(115a, 115b), 125(125a, 125b), 135(135a, 135b)]의 유량 조정 동작, 밸브[237(237e, 237f)], 처리실측 밸브[116(116a, 116b), 126(126a, 126b, 126c, 126d), 136(136a, 136b), 176(176a, 176b), 186(186a, 186b)], 탱크측 밸브(160), 벤트 밸브[170(170a, 170b)]의 가스의 ON/OFF 제어, 정합기(251)의 전력의 정합 동작, 고주파 전원(252)의 ON/OFF 제어 등을 제어하도록 구성된다.
또한 컨트롤러(260)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(262)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光) 디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이러한 외부 기억 장치(262)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(260)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(262)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 수신부(285)를 개재하여 네트워크(263, 인터넷이나 전용 회선) 등의 통신 수단을 이용하여 외부 기억 장치(262)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(260c)나 외부 기억 장치(262)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(260c) 단체만을 포함하는 경우, 외부 기억 장치(262) 단체만을 포함하는 경우 또는 그 양방을 포함하는 경우가 있다.
(2) 제1 기판 처리 공정
다음으로 전술한 기판 처리 장치의 처리로를 이용하여 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서 기판 상에 절연막이며, 예컨대 실리콘 함유막으로서의 실리콘 산화(SiO)막을 성막하는 시퀀스예에 대하여 도 7, 도 8을 참조하여 설명한다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(260)에 의해 제어된다.
또한 본 명세서에서 「웨이퍼」라는 단어를 이용한 경우에는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과 그 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 칭하는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
따라서 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」라는 것을 의미하는 경우나, 「웨이퍼에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」라는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼 최표면 상에 소정의 층(또는 막)을 형성한다」라는 것을 의미하는 경우가 있다.
또한 본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환해서 생각하면 좋다.
이하, 제1 기판 처리 공정(S200A)에 대하여 설명한다.
〔기판 반입 공정(S201)〕
제1 기판 처리 공정(S200A) 시에는 우선 웨이퍼(200)를 처리실(201)에 반입시킨다. 구체적으로는 기판 지지부(210)를 승강 기구(218)에 의해 하강시켜 리프트 핀(207)이 관통공(214)으로부터 기판 지지부(210)의 상면측에 돌출시킨 상태로 한다. 또한 처리실(201) 내를 소정의 압력으로 조압(調壓)한 후, 게이트 밸브(1490)를 개방하고, 게이트 밸브(1490)로부터 리프트 핀(207) 상에 웨이퍼(200)를 재치시킨다. 웨이퍼(200)를 리프트 핀(207) 상에 재치한 후, 승강 기구(218)에 의해 기판 지지부(210)를 소정의 위치까지 상승시키는 것에 의해 웨이퍼(200)가 리프트 핀(207)으로부터 기판 지지부(210)에 재치된다.
〔감압·승온 공정(S202)〕
계속해서 처리실(201) 내가 소정의 압력(진공도)이 되도록 처리실 배기관(224)을 개재하여 처리실(201) 내를 배기한다. 이때 압력 센서가 측정한 압력값에 기초하여 압력 조정기[222(222a)]로서의 APC밸브의 개도(開度)를 피드백 제어한다. 또한 온도 센서(도시되지 않음)가 검출한 온도값에 기초하여 처리실(201) 내가 소정의 온도가 되도록 히터(213)로의 통전량을 피드백 제어한다. 구체적으로는 기판 지지부(210)를 히터(213)에 의해 미리 가열해두고, 웨이퍼(200) 또는 기판 지지부(210)의 온도 변화가 없어지고 나서 일정시간 둔다. 그 동안 처리실(201) 내에 잔류하는 수분 또는 부재로부터의 탈(脫) 가스 등이 있는 경우에는 진공 배기나 N2가스의 공급에 의한 퍼지에 의해 제거해도 좋다. 이것으로 성막 프로세스 전의 준비가 완료된다. 또한 처리실(201) 내를 소정의 압력으로 배기할 때에 1회 도달 가능한 진공도까지 진공 배기해도 좋다.
〔제1 성막 공정(S301A)〕
계속해서 웨이퍼(200)에 SiO막을 성막하는 예에 대하여 설명한다. 제1 성막 공정(S301A)의 상세에 대하여 도 7, 도 8을 이용하여 설명한다.
웨이퍼(200)가 기판 지지부(210)에 재치되고 처리실(201) 내의 분위기가 안정된 후, 도 7, 도 8에 도시하는 공정(S203 내지 S207)의 스텝이 수행된다.
〔제1 가스 공급 공정(S203)〕
제1 가스 공급 공정(S203)에서는 제1 가스 공급부에서 처리실(201) 내에 제1 가스(원료 가스)로서의 아미노실란계 가스를 공급한다. 아미노실란계 가스로서는 예컨대 비스디에틸아미노실란[H2Si(NEt2)2, Bis(diethylamino)silane: BDEAS] 가스가 있다. 구체적으로는 가스 밸브(160)를 열고 아미노실란계 가스를 가스원으로부터 챔버(100)에 공급한다. 그때 처리실측 밸브(116a)를 열고 MFC(115a)로 소정 유량으로 조정한다. 유량 조정된 아미노실란계 가스는 제1 버퍼 공간(232a)을 통해서 샤워 헤드(234)의 분산공(234a)으로부터 감압 상태의 처리실(201) 내에 공급된다. 또한 배기계에 의한 처리실(201) 내의 배기를 계속하여 처리실(201) 내의 압력을 소정의 압력 범위(제1 압력)가 되도록 제어한다. 이때 웨이퍼(200)에 대하여 아미노실란계 가스가 공급되는 아미노실란계 가스는 소정의 압력(제1 압력: 예컨대 100Pa 이상 20,000Pa 이하)로 처리실(201) 내에 공급한다. 이와 같이 하여 웨이퍼(200)에 아미노실란계 가스를 공급한다. 아미노실란계 가스가 공급되는 것에 의해 웨이퍼(200) 상에 실리콘 함유층이 형성된다.
〔제1 퍼지 공정(S204)〕
웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 제1 가스 공급관(111a)의 가스 밸브(116a)를 닫고 아미노실란계 가스의 공급을 정지한다. 원료 가스를 정지하는 것에 의해 처리실(201) 중에 존재하는 원료 가스나 제1 버퍼 공간(232a) 중에 존재하는 원료 가스가 처리실 배기관(224)으로부터 배기되는 것에 의해 제1 퍼지 공정(S204)이 수행된다.
또한 퍼지 공정에서는 단순히 가스를 배기(진공 흡입)하여 가스를 배출하는 것 외에 불활성 가스를 공급하여 잔류 가스를 압출하는 것에 의한 배출 처리를 수행하도록 구성해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 조합하여 수행해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 교호(交互)적으로 수행하도록 구성해도 좋다.
또한 이때 샤워 헤드 배기관(236)의 밸브(237)를 열고 제1 버퍼 공간(232a) 내에 존재하는 가스를 샤워 헤드 배기관(236)으로부터 배기해도 좋다. 또한 배기 중에 밸브(227)와 밸브(237)에 의해 샤워 헤드 배기관(236)과 제1 버퍼 공간(232a) 내의 압력(배기 컨덕턴스)을 제어한다. 배기 컨덕턴스는 제1 버퍼 공간(232a)에서의 샤워 헤드 배기관(236)으로부터의 배기 컨덕턴스가 처리실(201)을 개재한 처리실 배기관(224)으로의 배기 컨덕턴스보다 높아지도록 밸브(227)와 밸브(237)를 제어해도 좋다. 이와 같이 조정하는 것에 의해 제1 버퍼 공간(232a)의 단부인 제1 가스 도입구(241a)로부터 또 다른 일방(一方)의 단부인 샤워 헤드 배기구(240a)를 향한 가스 흐름이 형성된다. 이와 같이 하는 것에 의해 제1 버퍼 공간(232a)의 벽에 부착된 가스나 제1 버퍼 공간(232a) 내에 부유한 가스가 처리실(201)에 진입하지 않고 샤워 헤드 배기관(236)으로부터 배기할 수 있도록 이루어진다. 또한 처리실(201)로부터 제1 버퍼 공간(232a) 내로의 가스의 역류를 억제하도록 제1 버퍼 공간(232a) 내의 압력과 처리실(201)의 압력(배기 컨덕턴스)을 조정해도 좋다.
또한 제1 퍼지 공정에서는 진공 펌프(223)의 동작을 계속하여 처리실(201) 내에 존재하는 가스를 진공 펌프(223)로부터 배기한다. 또한 처리실(201)로부터 처리실 배기관(224)으로의 배기 컨덕턴스가 제1 버퍼 공간(232a)으로의 배기 컨덕턴스보다 높아지도록 밸브(227)와 밸브(237)를 조정해도 좋다. 이와 같이 조정하는 것에 의해 처리실(201)을 경유한 처리실 배기관(224)을 향한 가스 흐름이 형성되어 처리실(201) 내에 잔류하는 가스를 배기할 수 있다. 또한 여기서 밸브(136a)를 열고 MFC(135a)를 조정하여 불활성 가스를 공급하는 것에 의해 불활성 가스를 확실하게 기판 상에 공급하는 것이 가능해져, 기판 상의 잔류 가스의 제거 효율을 향상시킬 수 있다.
소정 시간이 경과한 후, 밸브(136a)를 닫고 불활성 가스의 공급을 정지하는 것과 함께, 밸브(237)를 닫고 제1 버퍼 공간(232a)로부터 샤워 헤드 배기관(236)으로의 유로를 차단한다.
보다 바람직하게는 소정 시간이 경과한 후, 진공 펌프(223)를 계속해서 작동시키면서 밸브(237)를 닫는 것이 바람직하다. 이와 같이 하는 것에 의해 처리실(201)을 경유한 처리실 배기관(224)을 향한 흐름이 샤워 헤드 배기관(236)의 영향을 받지 않기 때문에 보다 확실하게 불활성 가스를 기판 상에 공급하는 것이 가능해져, 기판 상의 잔류 가스의 제거 효율을 한층 더 향상시킬 수 있다.
또한 처리실로부터 분위기를 퍼지하는 것은 단순히 진공 흡입하여 가스를 배출하는 것 외에 불활성 가스의 공급에 의한 가스의 압출(押出) 동작도 의미한다. 따라서 제1 퍼지 공정에서 제1 버퍼 공간(232a) 내에 불활성 가스를 공급하여 잔류 가스를 압출하는 것에 의한 배출 동작을 수행하도록 구성해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 조합하여 수행해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 교호적으로 수행하도록 구성해도 좋다.
또한 이때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 처리실(201)의 용적과 같은 정도의 양을 공급해도 좋다. 이와 같이 퍼지하는 것에 의해 다음 공정에 대한 영향을 저감할 수 있다. 또한 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 제조 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이때의 히터(213)의 온도는 웨이퍼(200)로의 원료 가스 공급 시와 마찬가지로 200℃ 내지 750℃, 바람직하게는 300℃ 내지 600℃, 보다 바람직하게는 300℃ 내지 550℃의 범위 내의 일정한 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 20,000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
〔제2 처리 가스 공급 공정(S205)〕
제1 가스 퍼지 공정 후, 밸브(126)를 열고 가스 도입공(241b), 제2 버퍼 공간(232b), 복수의 분산공(234b)을 개재하여 처리실(201) 내에 제2 가스(반응 가스)로서의 산소 함유 가스를 공급한다. 산소 함유 가스는 예컨대 산소 가스(O2)이나 오존 가스(O3), 물(H2O), 아산화질소 가스(N2O) 등이 있다. 여기서는 O2가스를 이용하는 예를 제시한다. 제2 버퍼 공간(232b), 분산공(234b)을 개재하여 처리실(201)에 공급하기 때문에 기판 상에 균일하게 가스를 공급할 수 있다. 그렇기 때문에 막 두께를 균일하게 할 수 있다. 또한 제2 가스를 공급할 때에 활성화부(여기부)로서의 리모트 플라즈마 유닛(RPU)(124)을 개재하여 활성화시킨 제2 가스를 처리실(201) 내에 공급 가능하도록 구성해도 좋다.
이때 O2가스의 유량이 소정의 유량이 되도록 매스 플로우 컨트롤러(125)를 조정한다. 또한 O2가스의 공급 유량은 예컨대 100sccm 이상 10,000sccm 이하다. 또한 압력 조정기(238)를 적절히 조정하는 것에 의해 제2 버퍼 공간(232b) 내의 압력을 소정의 압력 범위 내로 한다. 또한 O2가스가 RPU(124) 내를 흐를 때에는 RPU(124)을 ON상태(전원이 들어간 상태)로 하여 O2가스를 활성화(여기)시키도록 제어한다.
O2가스가 웨이퍼(200) 상에 형성되는 실리콘 함유층에 공급되면, 실리콘 함유층이 개질된다. 예컨대 실리콘 원소 또는 실리콘 원소를 함유하는 개질층이 형성된다. 또한 RPU(124)을 설치하고 활성화한 O2가스를 웨이퍼(200) 상에 공급하는 것에 의해 보다 많은 개질층을 형성할 수 있다.
개질층은 예컨대 처리실(201) 내의 압력, O2가스의 유량, 웨이퍼(200)의 온도, RPU(124)의 전력 공급 상태에 따라 소정의 두께, 소정의 분포, 실리콘 함유층에 대한 소정의 산소 성분 등의 침입 깊이를 가지도록 형성된다.
소정 시간이 경과한 후, 밸브(126)를 닫고 O2가스의 공급을 정지한다.
〔제2 퍼지 공정(S206)〕
O2가스의 공급을 정지하고 처리실(201) 중에 존재하는 O2가스나 제2 버퍼 공간(232a) 중에 존재하는 O2가스를 제1 배기부로부터 배기하는 것에 의해, 제2 퍼지 공정(S206)이 수행된다. 제2 퍼지 공정(S206)은 전술한 제1 퍼지 공정(S204)과 마찬가지의 공정이 수행된다.
제2 퍼지 공정(S206)에서는 진공 펌프(223)의 동작을 계속하여 처리실(201) 내에 존재하는 가스를 처리실 배기관(224)으로부터 배기한다. 또한 처리실(201)로부터 처리실 배기관(224)으로의 배기 컨덕턴스가 제2 버퍼 공간(232b)으로의 배기 컨덕턴스보다 높아지도록 밸브(227)와 밸브(237)를 조정해도 좋다. 이와 같이 조정하는 것에 의해 처리실(201)을 경유한 처리실 배기관(224)을 향한 가스 흐름이 형성되어, 처리실(201) 내에 잔류하는 가스를 배기할 수 있다. 또한 여기서 가스 밸브(136b)를 열고 MFC(135b)를 조정하고, 불활성 가스를 공급하는 것에 의해 불활성 가스를 확실하게 기판 상에 공급하는 것이 가능해지고, 기판 상의 잔류 가스의 제거 효율이 높아진다.
소정 시간이 경과한 후, 밸브(136b)를 닫고 불활성 가스의 공급을 정지하는 것과 함께 밸브(237b)를 닫고 제2 버퍼 공간(232b)과 샤워 헤드 배기관(236) 사이를 차단한다.
보다 바람직하게는 소정 시간이 경과한 후, 진공 펌프(223)를 계속해서 작동시키면서 밸브(237b)를 닫는 것이 바람직하다. 이와 같이 구성하는 것에 의해 처리실(201)을 경유한 샤워 헤드 배기관(236)을 향한 흐름이 처리실 배기관(224)의 영향을 받지 않기 때문에 보다 확실하게 불활성 가스를 기판 상에 공급하는 것이 가능해져, 기판 상의 잔류 가스의 제거 효율을 한층 더 향상시킬 수 있다.
또한 처리실로부터 분위기를 퍼지하는 것은 단순히 진공 흡입하여 가스를 배출하는 것 외에 불활성 가스의 공급에 의한 가스의 압출 동작도 의미한다. 따라서 퍼지 공정에서 제2 버퍼 공간(232b) 내에 불활성 가스를 공급하여 잔류 가스를 압출하는 것에 의한 배출 동작을 수행하도록 구성해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 조합하여 수행해도 좋다. 또한 진공 흡입과 불활성 가스의 공급을 교호적으로 수행하도록 구성해도 좋다.
또한 이때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 처리실(201)의 용적과 같은 정도의 양을 공급해도 좋다. 이와 같이 퍼지하는 것에 의해 다음 공정에의 영향을 저감할 수 있다. 또한 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 제조 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
이때의 히터(213)의 온도는 웨이퍼(200)로의 원료 가스 공급 시와 마찬가지로 200℃ 내지 750℃, 바람직하게는 300℃ 내지 600℃, 보다 바람직하게는 300℃ 내지 550℃의 범위 내의 일정한 온도가 되도록 설정한다. 각 불활성 가스 공급계로부터 공급하는 퍼지 가스로서의 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 20,000sccm의 범위 내의 유량으로 한다. 퍼지 가스로서는 N2가스 외에 Ar, He, Ne, Xe 등의 희가스를 이용해도 좋다.
〔판정 공정(S207)〕
제1 퍼지 공정(S206)이 종료된 후, 컨트롤러(260)는 상기 제1 성막 공정(S301A) 내, 공정(S203 내지 S206)이 소정 사이클수(c)가 실행되었는지에 대한 여부를 판정한다(c는 자연수). 즉 웨이퍼(200) 상에 원하는 두께의 막이 형성되었는지에 대한 여부를 판정한다. 전술한 스텝(S203 내지 S206)을 1사이클로 하여 이 사이클을 적어도 1회 이상 수행하는[스텝(S207)] 것에 의해 웨이퍼(200) 상에 소정 막 두께의 실리콘 및 산소를 포함하는 절연막, 즉 SiO막을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 이에 의해 웨이퍼(200) 상에 소정 막 두께의 SiO막이 형성된다.
소정 횟수 실시되지 않았을 때(No 판정 시)에는 스텝(S203 내지 S206) 사이클을 반복한다. 소정 횟수 실시되었을 때(Y 판정 시)은 성막 공정(S301)을 종료하고, 반송 압력 조정 공정(S208)과 기판 반출 공정(S209)을 실행한다.
또한 전술한 제1 가스 공급 공정(S203)이나 제2 가스 공급 공정(S205)에서는 제1 가스를 공급할 때에는 제2 분산부인 제2 버퍼 공간(232b)에 불활성 가스를 공급하고, 제2 가스를 공급할 때에는 제1 분산부인 제1 버퍼 공간(232a)에 불활성 가스를 공급하면, 각각의 가스가 다른 버퍼 공간에 역류하는 것을 방지할 수 있다.
〔반송 압력 조정 공정(S208)〕
반송 압력 조정 공정(S208)에서는 처리실(201) 내나 반송 공간(203)이 소정의 압력(진공도)이 되도록 처리실 배기관(224)을 개재하여 처리실(201) 내나 반송 공간(203) 내를 배기한다. 이때의 처리실(201) 내나 반송 공간(203) 내의 압력은 진공 반송실(1400) 내의 압력 이상으로 조정된다. 또한 이 반송 압력 조정 공정(S208) 사이나 전후에서 웨이퍼(200)의 온도가 소정의 온도까지 냉각되도록 리프트 핀(207)으로 보지하도록 구성해도 좋다.
〔기판 반출 공정(S209)〕
반송 압력 조정 공정(S208)에서 처리실(201) 내가 소정 압력이 된 후, 게이트 밸브(1490)를 열고 반송 공간(203)으로부터 진공 반송실(1400)에 웨이퍼(200)를 반출한다.
이와 같은 공정으로 웨이퍼(200)의 처리가 수행된다.
또한 도 1에 도시하는 같은 챔버(100)를 짝수 대 포함하는 처리 장치에 홀수 매의 웨이퍼 군(群)이 반송된 경우에도 생산성을 향상시키는 것이 요구된다. 생산성을 향상시키는 방법으로서는 예컨대 단위 시간당 웨이퍼(200)의 처리 매수(처리 스루풋)를 증가시키는 것, 프로세스 성능을 유지시키는 것, 메인터넌스 시간의 단축, 메인터넌스 빈도의 저감 등이 있다. 예컨대 도 1에 도시하는 처리 장치에 의해 웨이퍼(200)가 25매인 로트를 처리하는 경우에 최후의 1매를 처리하는 프로세스 모듈에서는 일방의 챔버에서 제1 기판 처리 공정(S200A)[제1 성막 공정(S301A)]이 수행되고, 타방(他方)의 챔버에서 제2 기판 처리 공정(S200B)[제2 성막 공정(S301B)]이 수행된다. 발명자는 이러한 로트를 복수 회 수행한 경우에 이하의 (A), (B)의 과제가 발생한다는 사실을 발견했다. 여기서 홀수 매의 웨이퍼 군이란 홀수 매의 웨이퍼(200)가 격납된 포드(1001) 단체 또는 복수 개의 포드(1001)로 구성된다.
또한 이하의 과제A, 과제B는 웨이퍼 매수가 25매 내지 21매 또는 13매 내지 9매 정도의 웨이퍼 군으로 구성되는 로트 생산의 경우 등에 현저해지지만, 20매 내지 14매 정도의 경우나 25매 이상의 웨이퍼 군으로 구성되는 로트의 경우에서도 마찬가지의 과제가 발생하는 경우가 있다.
(과제A)
도 11에 도시하는 바와 같이 25매의 1로트를 복수 회 처리하는 경우, 특정 챔버의 사용 횟수가 치우치는 경우가 있다. 여기서는 25매의 처리를 9회 수행한 예를 제시한다. 이와 같이 PM1의 챔버1의 처리 횟수와 다른 PM의 처리 횟수에 최대 9회의 차이가 발생한다. 이 경우, 챔버1과 다른 챔버들(챔버2 내지 챔버8)에서는 파티클의 발생 확률이나, 메인터넌스 타이밍이 바뀌는 경우가 있다. 특히 챔버1과 챔버2는 같은 PM1에 설치되고, 가스 공급부를 공용하기 때문에 메인터넌스 타이밍이 달라진 경우, 생산성의 저하가 현저해진다.
(과제B)
반도체 장치로는 적층 구조가 주류를 이루고, 하나의 처리가 복수 회 수행된다. 하나의 처리를 복수 회 수행하는 경우에 같은 챔버로 같은 웨이퍼(200)를 처리하는 경우가 있다. 챔버마다 차이[기차(機差)]가 있는 경우, 특정 웨이퍼에서 형성되는 반도체 장치의 특성과 다른 웨이퍼에서 형성되는 반도체 장치의 특성이 다르다는 과제가 발생한다. 예컨대 챔버ch1과 챔버ch3에서 막 특성이 다른 경우가 있다. 여기서 막 특성이란 막 두께, 막질, 결정성(結晶性), 유전율, 굴절률, 막 밀도, 에칭 레이트 등이다.
하나의 처리를 복수 회 수행하는 처리로서 예컨대 층간 절연막의 형성 공정이 있다. 층간 절연막의 형성 공정은 수 회 내지 수십 회 수행된다. 이때 복수 회 동일한 처리 장치가 사용되는 경우가 있다. 예컨대 도 11에서 No. 1과 No. 5가 동일한 웨이퍼들인 경우가 있다. 이와 같이 같은 처리 장치로 같은 기판을 처리하는 것은 특히 이러한 적은 매수의 로트 생산의 횟수가 적은 경우에 많아진다. 1회째의 처리부터 다음 처리까지의 동안에 다른 로트의 생산이 수행되지 않고, 전회와 동일한 로트를 처리하는 경우가 있다.
발명자는 이와 같은 과제에 대하여 이하의 시퀀스 (a), (b)처럼 기판을 반송하는 것에 의해 전술한 과제를 해결할 수 있는 것을 발견했다. 즉 홀수 매의 웨이퍼 군을 처리하는 경우에도 생산성을 향상시킬 수 있다. 또한 웨이퍼(200)마다 처리 균일성을 향상시킬 수 있는 것을 발견했다.
또한 발명자들이 한층 더 예의 연구한 결과, (a), (b)의 반송 시퀀스에서 이하의 과제C를 발견했다.
(과제C)
1매 내지 24매째의 웨이퍼(200)의 처리가 특정의 마찬가지의 챔버에서 수행되고, 특정 웨이퍼(200)에 형성되는 막 특성에 편차가 발생한다.
또한 과제A, 과제B, 과제C는 격납 용기에 격납된 웨이퍼(200)의 수를 처리 유닛의 수나 챔버의 수로 나눌 수 없을 때에 발생하기 쉽다.
과제C를 해결하는 반송 시퀀스로서 이하 (c)의 반송 시퀀스를 발견했다.
시퀀스 (a)
이전 로트의 최후의 웨이퍼를 처리한 처리 유닛의 다음 처리 유닛부터 반송을 시작하는 것에 의해 해결할 수 있다. 예컨대 1로트당 웨이퍼(200)가 25매의 처리를 복수 회 수행할 때에 X번째(X는 자연수)의 로트의 최후의 웨이퍼(200)가 반송된 처리 유닛m번을 제어부(260)로 기록하고, X+1번째의 로트에서는 m+1번째의 처리 유닛부터 반송을 시작하도록 제1 반송 로봇으로서의 대기 반송 로봇(1220)과 제2 반송 로봇으로서의 진공 반송 로봇(1700)을 제어한다. 도 12를 예로 들어 설명한다. 로트 1의 최후의 웨이퍼 No. 25를 처리한 처리 유닛의 번호m에 1을 기록하고, 로트 2의 웨이퍼 No. 1은 m+1이 되는 처리 유닛2부터 반송을 시작한다. 또한 로트 2의 최후의 웨이퍼 No. 25를 처리한 처리 유닛의 번호m에 2를 기록하고, 로트 3의 웨이퍼 No. 1은 m+1이 되는 처리 유닛3부터 반송을 시작한다. 즉 로트 중의 최후의 웨이퍼(200)를 처리한 처리 유닛 중에서 챔버에 잉여가 발생해도 다음 로트에서는 잉여된 챔버를 그대로 두고 다음 처리 유닛으로부터 반송을 시작한다. 이와 같이 구성하는 것에 의해 각 챔버의 사용 횟수의 차이를 도 11의 반송 시퀀스와 비하고, 각 챔버의 총 사용 카운트의 최대값과 최소값의 차이를 감소시킬 수 있어, 편차를 감소시킬 수 있다. 즉 각 챔버의 사용 횟수를 평준화할 수 있다.
또한 로트당의 매수가 13매이어도 마찬가지로 각 챔버의 사용 횟수의 차이를 감소시킬 수 있다. 도 13에 로트당의 매수가 13매의 경우를 도시한다.
시퀀스 (b)
(a)의 반송 시퀀스와 더불어, X번째의 로트의 최후의 웨이퍼가 반송된 챔버n번을 제어부(260)로 기록하고, X+1번째의 로트의 최후의 웨이퍼(200)를 n+1번째로 반송시키도록 대기 반송 로봇(1220)과 진공 반송 로봇(1700)을 제어시킨다. 도 14를 예로 들어 설명한다. 로트 1의 최후의 웨이퍼 No. 25를 처리한 처리 유닛의 번호m에 1을 기록하고, 또한 웨이퍼 No. 25를 처리한 챔버n에 1을 기록한다. 그 다음에 로트 2의 웨이퍼 No. 1은 m+1이 되는 처리 유닛2부터 반송을 시작하고, 웨이퍼 No. 25는 n+1이 되는 챔버2에 반송한다. 이와 같이 반송시키는 것에 의해 시퀀스 (a)의 반송 시와 비교할 때, 각 챔버의 총 사용 카운트의 최대값과 최소값을 감소시킬 수 있어, 편차를 감소시킬 수 있다. 또한 웨이퍼 No. 25를 사용하는 챔버의 편차도 억제할 수 있다.
도 15는 로트당의 매수가 13매의 경우를 도시한다. 도 15에 도시하는 바와 같이 13매의 경우에도 각 챔버의 사용 횟수의 차이를 감소시킬 수 있다.
시퀀스 (c)
전술한 (a)와 (b)의 반송 시퀀스 중 어느 하나 또는 양방과 더불어, 로드록 실(1300)에 설치된 재치면(1311a)과 재치면(1311b)으로의 반송 순서를 교호적으로 교체하도록 제1 반송 로봇으로서의 대기 반송 로봇(1220)을 제어하는 것에 의해 전술한 과제를 해결할 수 있다.
예컨대 도 16에 도시하는 바와 같이 반송 시퀀스를 구성한다. 도 16에서는 1매째의 웨이퍼(200)를 재치면(1311a)에 반송하고, 2매째의 웨이퍼(200)를 재치면(1311b)에 반송하고, 3매째의 웨이퍼(200)는 재치면(1311b)에 반송하고, 4매째의 웨이퍼(200)는 재치면(1311a)에 반송하도록 구성한다. 또한 5매째의 웨이퍼(200)는 재치면(1311a)에 재치하고, 6장째의 웨이퍼(200)는 재치면(1311b)에 재치한다. 이와 같이 웨이퍼 No. 1을 챔버1, 웨이퍼 No. 2을 챔버2, 웨이퍼 No. 3을 챔버4, 웨이퍼 No. 4을 챔버3, 웨이퍼 No. 5를 챔버5, 웨이퍼 No. 6을 챔버6에 반송시킨다. 바꿔 말하면, 처리 유닛 내의 챔버의 수마다 재치면으로의 재치를 교체하여 반송하도록 구성한다. 또한 바꿔 말하면, 홀수(2X-1)번째의 로트에서는 4Y-1매째(Y는 자연수)(예컨대 3, 7, 11, 15, 19, 23매째)를 재치면(1311b)으로부터 반송하고, 짝수(2X)번째의 로트에서는 4Y-3매째(예컨대 1, 5, 9, 13, 17, 21, 25매째)의 기판을 재치면(1311b)으로부터 반송하도록, 제1 반송 로봇으로서의 대기 반송 로봇(1220)을 제어한다. 이와 같이 반송을 제어하는 것에 의해 25매 1로트의 로트를 복수 회 수행해도 각 챔버의 사용 횟수의 차이를 저감하면서 1매째 내지 25매째의 웨이퍼(200) 모두 각 챔버의 사용을 계속해서 사용하는 것을 억제할 수 있다.
또한 도 17에 도시하는 바와 같이 로트당의 매수가 13인 경우에도 각 챔버의 사용 횟수의 차이를 감소시킬 수 있다.
또한 2X번째의 로트에서 4Y-1매째의 기판을 재치면(1311b)으로부터 반송하고, 2X-1번째의 로트에서 4Y-3번째의 기판을 재치면(1311b)으로부터 반송하도록 구성해도 좋다.
이하, 전술한 프로세스 모듈 내의 웨이퍼(200)가 반송되지 않은 챔버에서 수행되는 제2 기판 처리 공정(S200B)에 대하여 설명한다. 이하의 예에서는 도 4에 도시하는 챔버(100a)에 기판이 반송되어 챔버(100a)에서 제1 기판 처리 공정(S200A)이 수행되고, 챔버(100b)에 기판이 반송되지 않은 상태에서 챔버(100b)에서 제2 기판 처리 공정(S200B)이 수행되는 경우를 설명한다. 웨이퍼(200)가 반송되지 않은 챔버에서 제2 기판 처리 공정(S200B)을 수행하는 것에 의해 도 4에 도시하는 프로세스 모듈의 챔버(100a)와 챔버(100b)의 배기 컨덕턴스를 일정하게 할 수 있어, 웨이퍼(200)마다의 처리 균일성을 향상시킬 수 있다.
제2 기판 처리 공정(S200B)은 도 9, 10에 도시하는 바와 같이 제1 기판 처리 공정(S200A) 중의 제1 성막 공정(S301A)의 제1 처리 가스 공급 공정(S203)에 상당하는 공정에서 제3 퍼지 공정(S403)을 수행하고, 제1 성막 공정(S301A)의 제2 처리 가스 공급 공정(S205)에 상당하는 공정에서 제4 퍼지 공정(S405)을 수행하도록 구성된다. 이하, 제3 퍼지 공정(S403)과 제4 퍼지 공정(S405)에 대하여 설명한다.
〔제3 퍼지 공정(S403)〕
제3 퍼지 공정(S403)에서는 챔버(100a)로 제1 처리 가스 공급 공정(S203)이 수행되는 동안 제4 가스 공급부로부터 제1 버퍼 공간(232a)을 개재하여 처리실(201) 내에 불활성 가스를 공급한다. 구체적으로는 기판 재치면(1311)에 웨이퍼(200)가 재치되지 않은 상태에서 밸브(146b)를 열고 MFC(145b)로 유량 조정된 불활성 가스를 제1 가스 공급관(111b)을 개재하여 챔버(100b)에 공급한다. 불활성 가스의 유량은 제2 성막 공정(S301B)이 수행되는 챔버(100b)로부터 처리실 배기관(224b)으로의 배기 컨덕턴스를 제1 성막 공정(S301A)이 수행되는 챔버(100a)로부터 처리실 배기관(224a)으로의 배기 컨덕턴스와 동등해지는 유량으로 설정한다. 예컨대 챔버(100a)에 공급되는 제1 처리 가스의 유량과 마찬가지의 유량으로 설정한다. 또한 제1 처리 가스의 분자량과 불활성 가스의 분자량이 다른 경우에는 반드시 마찬가지로 할 필요는 없고, 배기 컨덕턴스가 동등해질 수 있는 유량으로 설정하면 좋다. 또한 여기서는 제4 가스 공급부를 이용하여 불활성 가스를 공급하도록 구성했지만, 제3 가스 공급부로부터 공급하도록 구성해도 좋다. 제3 가스 공급부로부터 공급하도록 구성하는 것에 의해 배관 수를 저감할 수 있다. 한편, 제1 퍼지 공정, 제2 퍼지 공정, 제3 퍼지 공정, 제4 퍼지 공정의 각각에서 유량을 절체할 필요가 발생한 경우에 유량 변경이 지체될 가능성이 있다. 이러한 경우에도 제4 가스 공급부를 설치하는 것에 의해 MFC(135)의 유량 절체의 대기 시간을 없앨 수 있다. 또한 제4 가스 공급부로부터 처리실(201)로의 불활성 가스 공급을 제1 처리 가스의 공급 유로와 마찬가지의 유로로 구성하는 것에 의해 챔버(100a)의 배기 컨덕턴스와 챔버(100b)의 배기 컨덕턴스의 밸런스를 유지하는 것이 용이해진다. 또한 컨덕턴스의 차이가 허용 범위 내일 때에는 다른 유로를 이용해도 좋다.
제3 퍼지 공정(S403)에서 각 챔버의 처리실(201)을 퍼지하기 전과 후 중 어느 하나 또는 양방에서 제1 버퍼 공간(232a)을 퍼지하도록 구성해도 좋다. 이 제1 버퍼 공간(232a)의 퍼지에서는 챔버(100b)에 공급되는 퍼지 가스의 총량을 챔버(100a)에 공급되는 퍼지 가스의 총량과 마찬가지가 되도록 구성된다. 이와 같이 구성하는 것에 의해 제1 버퍼 공간(232a)의 퍼지 공정에서도 챔버(100a)와 챔버(100b)의 배기 밸런스를 유지할 수 있다. 또한 여기서 제1 버퍼 공간(232a)으로의 퍼지 가스의 공급은 제3 가스 공급부로부터 제1 가스 공급관(111a)을 개재하여 수행해도 좋고, 제4 가스 공급부로부터 제1 가스 공급관(111a)을 개재하여 수행해도 좋다.
〔제4 퍼지 공정(S405)〕
제4 퍼지 공정(S405)에서는 챔버(100a)에서 제2 처리 가스 공급 공정(S205)이 수행되는 동안, 제4 가스 공급부로부터 제2 버퍼 공간(232b)을 개재하여 처리실(201) 내에 불활성 가스를 공급한다. 구체적으로는 밸브(156b)를 열고 MFC(155b)로 유량 조정된 불활성 가스를 제2 가스 공급관(121b)을 개재하여 챔버(100b)에 공급한다. 또한 여기서는 제4 가스 공급부를 이용하여 불활성 가스를 공급하도록 구성했지만, 제3 가스 공급부로부터 공급하도록 구성해도 좋다. 또한 제4 퍼지 공정(S405)에서의 불활성 가스의 유량은 챔버(100a)에 공급되는 제2 처리 가스의 유량과 마찬가지의 유량으로 설정한다. 또한 제2 처리 가스의 분자량과 불활성 가스의 분자량이 다른 경우에는 반드시 마찬가지로 할 필요는 없고, 배기 컨덕턴스가 동등해질 수 있는 유량으로 조정하면 좋다. 또한 제4 가스 공급부로부터 처리실(201)로의 불활성 가스의 공급을 제2 처리 가스의 공급 유로와 마찬가지의 유로로 구성하는 것에 의해 챔버(100a)의 배기 컨덕턴스와 챔버(100b)의 배기 컨덕턴스의 밸런스를 유지하는 것이 용이해진다. 또한 컨덕턴스의 차이가 허용 범위 내일 때에는 다른 유로를 이용해도 좋다.
또한 제4 퍼지 공정(S405)에서 각 챔버의 처리실(201)을 퍼지하기 전과 후 중 어느 하나 또는 양방에서 제2 버퍼 공간(232b)을 퍼지하도록 구성해도 좋다. 이 제2 버퍼 공간(232b)의 퍼지에서는 챔버(100b)에 공급되는 퍼지 가스의 총량을 챔버(100a)에 공급되는 퍼지 가스의 총량과 마찬가지가 되도록 구성된다. 이와 같이 구성하는 것에 의해 제2 버퍼 공간(232b)의 퍼지 공정에서도 챔버(100a)와 챔버(100b)의 배기 밸런스를 유지할 수 있다. 또한 여기서 제1 버퍼 공간(232a)으로의 퍼지 가스의 공급은 제3 가스 공급부로부터 제1 가스 공급관(111a)을 개재하여 수행해도 좋고, 제4 가스 공급부로부터 제1 가스 공급관(111a)을 개재하여 수행해도 좋다.
또한 제4 퍼지 공정(S405)을 수행하는 동안 챔버(100a)에서는 제1 성막 공정의 제2 처리 가스 공급 공정(S205)이 수행된다. 제2 처리 가스 공급 공정(S205)에서 제2 처리 가스를 활성화시키는 경우에 챔버(100a)에만 활성화된 제2 처리 가스를 공급한 경우는 2개의 챔버[챔버(100a)와 챔버(100b)]로 제2 처리 가스 공급 공정(S205)을 수행한 경우와 비교하여 챔버(100a)에 보다 활성도가 높은 제2 처리 가스가 공급되는 경우가 있다. 이러한 경우에는 제4 퍼지 공정(S405) 동안 벤트 라인(171b)으로부터 활성화된 제2 처리 가스를 배기하도록 구성해도 좋다. 이 활성화된 제2 처리 가스의 배기량은 제2 처리 가스 공급 공정(S205)에서 챔버(100b)에 공급되는 양에 상당하는 양으로 설정된다. 또한 여기서는 벤트 라인(171b)을 MFC(125b)의 상류측에 설치한 예를 제시했지만, MFC(125b)의 하류측에 설치해도 좋다. 하류측에 설치하는 것에 의해 보다 정밀한 유량 조정을 수행할 수 있다.
또한 전술에서는 원료 가스와 반응 가스를 교호적으로 공급하여 성막하는 방법에 대하여 기재했지만, 원료 가스와 반응 가스의 기상 반응량이나 부생성물의 발생량이 허용 범위 내라면, 다른 방법에도 적용 가능하다. 예컨대 원료 가스와 반응 가스의 공급 타이밍이 겹치는 것과 같은 방법이다.
또한 전술에서는 2개의 챔버를 1쌍으로 하는 프로세스 모듈에 대하여 설명했지만 이에 한정되지 않고, 3개 이상의 챔버를 1쌍으로 하는 프로세스 모듈이어도 좋다. 3개 이상인 경우에는 1개의 챔버에 기판을 반송하고, 1개의 챔버 이외의 적어도 1개의 다른 챔버에 기판을 반송하지 않는 경우에 1개의 챔버에 처리 가스를 공급하고 다른 챔버에 불활성 가스를 공급하는 것에 의해 전술한 효과 등을 얻을 수 있다.
또한 전술에서는 기판을 1매씩 처리하는 매엽식 장치에 대하여 기재했지만 이에 한정되지 않고, 처리실에 기판을 수직 방향 또는 수평 방향으로 복수 매 배열하는 뱃치(batch)식 장치이어도 좋다.
또한 전술에서는 성막 처리에 대하여 기재했지만, 다른 처리에도 적용 가능하다. 예컨대 확산 처리, 산화 처리, 질화 처리, 산질화 처리, 환원 처리, 산화 환원 처리, 에칭 처리, 가열 처리 등이 있다. 예컨대 반응 가스만을 이용하여 기판 표면이나 기판에 형성된 막을 플라즈마 산화 처리나, 플라즈마 질화 처리 시에도 본 발명을 적용할 수 있다. 또한 반응 가스만을 이용한 플라즈마 어닐링 처리에도 적용할 수 있다.
또한 전술에서는 반도체 장치의 제조 공정에 대하여 기재했지만, 실시 형태에 따른 발명은 반도체 장치의 제조 공정 이외에도 적용 가능하다. 예컨대 액정 디바이스의 제조 공정, 태양 전지의 제조 공정, 발광 디바이스의 제조 공정, 유리 기판의 처리 공정, 세라믹 기판의 처리 공정, 도전성 기판의 처리 공정 등의 기판 처리가 있다.
또한 전술에서는 원료 가스로서 실리콘 함유 가스, 반응 가스로서 산소 함유 가스를 이용하여 실리콘 산화막을 형성하는 예를 제시했지만, 다른 가스를 이용한 성막에도 적용 가능하다. 예컨대 산소 함유막, 질소 함유막, 탄소 함유막, 붕소 함유막, 금속 함유막과 이 원소가 복수 함유한 막 등이 있다. 또한 이들 막으로서는 예컨대 SiN막, AlO막, ZrO막, HfO막, HfAlO막, ZrAlO막, SiC막, SiCN막, SiBN막, TiN막, TiC막, TiAlC막 등이 있다. 이 막을 성막하기 위해서 사용되는 원료 가스와 반응 가스 각각의 가스 특성(흡착성, 이탈성, 증기압 등)을 비교하여, 공급 위치나 샤워 헤드(234) 내의 구조를 적절히 변경하는 것에 의해 마찬가지의 효과를 얻을 수 있다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기(附記)한다.
<부기1>
본 발명의 일 형태에 의하면,
복수의 챔버를 포함하고 복수 설치된 처리 유닛;
복수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트;
상기 로드 포트와 로드록 실 사이에 상기 기판을 반송하는 제1 반송 로봇; 및
상기 로드록 실과 상기 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇;
을 포함하고,
X번째(X는 자연수)의 상기 격납 용기에 수용된 최후의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내, 기판이 없는 상태의 복수의 상기 챔버 내의 하나의 챔버에 반송하고, X+1번째의 상기 격납 용기에 수용된 복수의 기판 내의 최초로 반송하는 기판을 m+1번째의 처리 유닛 내의 복수의 상기 챔버 중 어느 하나에 반송하도록, 상기 제1 반송 로봇과 상기 제2 반송 로봇을 제어하는 제어부를 포함하는 기판 처리 장치 또는 반도체 장치의 제조 장치가 제공된다.
<부기2>
부기1에 기재된 장치로서 바람직하게는,
상기 제어부는,
상기 X번째의 상기 격납 용기에 수용된 최후의 기판이 반송된 n번째(n은 자연수)의 챔버를 기록하고,
상기 X+1번째의 격납 용기에 수용된 복수의 기판 내의 최후의 기판을 n+1번째의 챔버에 반송하도록 상기 제2 반송 로봇을 제어하도록 구성된다.
<부기3>
부기1 또는 부기2에 기재된 장치로서 바람직하게는,
상기 로드록 실에 복수의 재치면이 설치되고,
상기 제어부는,
홀수번째의 격납 용기에 수용된 최후의 기판을 재치시키는 상기 재치면과, 짝수번째의 격납 용기에 수용된 최후의 기판을 재치시키는 상기 재치면을 다르게 하도록 상기 제1 반송 로봇을 제어하도록 구성된다.
<부기4>
부기1 내지 부기3 중 어느 하나에 기재된 장치로서 바람직하게는,
상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
상기 제어부는 홀수(2X-1)번째의 상기 격납 용기 내의 4Y-1번째의 기판을 상기 제1 재치면에 반송하고,
짝수(2X)번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하도록 상기 제1 반송 로봇을 제어하도록 구성된다.
<부기5>
부기1 내지 부기4 중 어느 하나에 기재된 장치로서 바람직하게는,
상기 챔버는 짝수 대 설치된다.
<부기6>
부기3 내지 부기5 중 어느 하나에 기재된 장치로서 바람직하게는,
상기 제2 반송 로봇은 상기 기판을 보지하는 엔드이펙터를 2개 설치된 암을 포함한다.
<부기7>
부기6에 기재된 장치로서 바람직하게는,
상기 제어부는,
상기 로드록 실 내의 상기 기판을 상기 제2 반송 로봇으로 보지하여 상기 처리 유닛에 반송하도록 구성된다.
<부기8>
본 발명의 또 다른 형태에 의하면,
복수의 챔버를 포함하고 복수 설치된 처리 유닛과, 복수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트와, 상기 로드 포트와 로드록 실 사이에서 상기 기판을 반송하는 제1 반송 로봇과, 상기 로드록 실과 상기 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇을 포함하는 장치를 이용한 기판 처리 방법 또는 반도체 장치의 제조 방법으로서,
X번째(X는 자연수)의 상기 격납 용기에 수용된 최후의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내, 기판이 없는 상태의 복수의 상기 챔버 내의 하나의 챔버에 반송하는 공정; 및
X+1번째의 상기 격납 용기에 수용된 복수의 기판 내의 최초로 반송하는 기판을 m+1번째의 처리 유닛 내의 복수의 상기 챔버 중 어느 하나에 반송하는 공정;
을 포함하는 기판 처리 방법 또는 반도체 장치의 제조 방법이 제공된다.
<부기9>
부기8에 기재된 방법으로서 바람직하게는,
상기 격납 용기에 수용된 상기 기판이 홀수 매일 때,
상기 X번째의 상기 격납 용기에 수용된 최후의 기판이 반송된 n번째(n은 자연수)의 챔버를 기록하는 공정; 및
상기 X+1번째의 격납 용기에 수용된 복수의 기판 내의 최후의 기판을 n+1번째의 챔버에 반송하는 공정;
을 더 포함한다.
<부기10>
부기8 또는 부기9에 기재된 방법으로서 바람직하게는,
상기 로드록 실에 복수의 재치면이 설치되고,
홀수(2X-1)번째의 격납 용기에 수용된 최후의 기판을 재치시키는 상기 재치면과, 짝수(2X)번째의 격납 용기에 수용된 최후의 기판을 재치시키는 상기 재치면을 다르게 하는 공정을 더 포함한다.
<부기11>
부기8 내지 부기10 중 어느 하나에 기재된 방법으로서 바람직하게는,
상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
상기 제어부는 홀수(2X-1)번째의 상기 격납 용기 내의 4Y-1번째의 기판을 상기 제1 재치면에 반송하는 공정; 및
짝수(2X)번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하는 공정;
을 더 포함한다.
<부기12>
부기8 내지 부기11 중 어느 하나에 기재된 방법으로서 바람직하게는,
상기 처리 유닛 내, 상기 기판이 반송된 챔버에 처리 가스를 공급하는 공정; 및
상기 처리 가스를 공급하는 공정과 병행하여 상기 처리 유닛 내, 상기 기판이 반송되지 않은 챔버에서 불활성 가스를 공급하는 공정;
을 더 포함한다.
<부기13>
부기 12에 기재된 방법으로서 바람직하게는,
상기 처리 가스를 공급하는 공정을 수행하는 챔버의 배기 컨덕턴스와, 상기 불활성 가스를 공급하는 공정을 수행하는 챔버의 배기 컨덕턴스를 마찬가지로 하는 공정을 더 포함한다.
<부기14>
본 발명의 또 다른 형태에 의하면,
복수의 챔버를 포함하고 복수 설치된 처리 유닛과, 복수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트와, 상기 로드 포트와 로드록 실 사이에서 상기 기판을 반송하는 제1 반송 로봇과, 상기 로드록 실과 상기 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇를 포함하는 장치에서 실행되는 프로그램 또는 상기 프로그램이 기록된 기록 매체로서,
X번째(X는 자연수)의 상기 격납 용기에 수용된 최후의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내, 기판이 없는 상태의 복수의 상기 챔버 내의 하나의 챔버에 반송시키는 단계; 및
X+1번째의 상기 격납 용기에 수용된 복수의 기판 내의 최초로 반송하는 기판을 m+1번째의 처리 유닛 내의 복수의 상기 챔버 중 어느 하나에 반송시키는 단계;
를 컴퓨터에 실행시키는 프로그램, 또는 상기 프로그램이 기록된 기록 매체가 제공된다.
<부기15>
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 챔버;
상기 챔버를 복수 구비하는 처리 유닛;
상기 처리 유닛이 복수 접속되는 진공 반송실;
상기 진공 반송실에 접속되는 로드록 실;
복수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트;
상기 로드록 실과 상기 로드 포트 사이에 설치되고, 제1 반송 로봇을 포함하는 대기 반송실; 및
상기 진공 반송실에 설치되고, 상기 로드록 실과 상기 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇;
을 포함하고,
상기 격납 용기에 수용된 복수의 기판을 상기 복수의 챔버에 순서대로 배치하여 처리하는 경우에, X번째(X는 자연수)의 상기 격납 용기에 수용된 최후의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내, 기판이 없는 상태의 복수의 상기 챔버 내의 하나의 챔버에 반송하고, X+1번째의 상기 격납 용기에 수용된 복수의 기판 내의 최초로 반송하는 기판을 m+1번째의 처리 유닛 내의 복수의 상기 챔버 중 어느 하나에 반송하도록, 상기 제1 반송 로봇과 상기 제2 반송 로봇을 제어하는 제어부,를 포함하는 기판 처리 장치 또는 반도체 장치의 제조 장치가 제공된다.
<부기16>
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 챔버와, 상기 챔버를 복수 구비하는 처리 유닛과, 상기 처리 유닛이 복수 접속되는 진공 반송실과, 상기 진공 반송실에 접속되는 로드록 실과, 복수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트와, 상기 로드록 실과 상기 로드 포트 사이에 설치되고 제1 반송 로봇을 포함하는 대기 반송실과, 상기 진공 반송실에 설치되고 상기 로드록 실과 상기 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇을 포함하는 장치를 이용한 반도체 장치의 제조 방법으로서,
상기 격납 용기에 수용된 복수의 기판을 상기 복수의 챔버에 순서대로 배치하여 처리하는 경우에,
X번째(X는 자연수)의 상기 격납 용기에 수용된 최후의 기판을 상기 격납 용기로부터 상기 챔버에 반송한 처리 유닛의 번호m을 기록하는 공정(m은 자연수); 및
X+1번째의 상기 격납 용기에 수용된 상기 기판을 m+1번째의 처리 유닛으로부터 반송 시작하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
100: 챔버 110: 프로세스 모듈
200: 웨이퍼(기판) 201: 처리실
202: 처리 용기 211: 재치면
212: 기판 재치대 215: 외주면
232a: 제1 버퍼 공간 232b: 제2 버퍼 공간
234: 샤워 헤드 234a: 제1 분산공
234b: 제2 분산공 234c: 제3 분산공
234d: 제4 분산공 241a: 제1 가스 도입구
241b: 제2 가스 도입구 1000: 기판 처리 시스템
1100: IO스테이지 1200: 대기 반송실
1220: 제1 반송 로봇(대기 반송 로봇) 1300: 로드록 실
1400: 진공 반송실 1700: 제2 반송 로봇(진공 반송 로봇)

Claims (17)

  1. 기판을 처리하는 챔버;
    상기 챔버를 짝수 대 구비하는 처리 유닛;
    상기 처리 유닛이 복수 접속되는 진공 반송실;
    상기 진공 반송실에 접속되는 로드록 실;
    홀수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트;
    상기 로드록 실과 상기 로드 포트 사이에 설치되고, 제1 반송 로봇을 포함하는 대기(大氣) 반송실;
    상기 진공 반송실에 설치되고, 상기 로드록 실과 상기 짝수 대의 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇;
    (a) X번째(X는 자연수)의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내의 비어 있는 상태의 n번째(n은 자연수)의 챔버에 반송한 후, 상기 m번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정; (b) 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 비어 있는 상태의 n번째의 챔버에 반송한 후이며, X+1번째의 상기 격납 용기에 수용된 상기 홀수 매의 기판 내의 최초의 2개의 기판을 m+1번째의 처리 유닛 내의 챔버에 반송하고, 상기 m+1번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정; (c) 상기 (a) 공정 중에 상기 X번째의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판이 반송된 상기 n번째의 챔버 번호를 기록하는 공정; 및 (d) 상기 (b) 공정 후이며, 상기 (c) 공정에서 기록된 챔버 번호에 기초하여, 상기 X+1번째의 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 상기 m번째 처리 유닛의 n+1번째의 챔버에 반송하는 공정을 수행시키도록, 상기 제1 반송 로봇과 상기 제2 반송 로봇을 제어하는 제어부를 포함하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제어부는,
    (e) 상기 (b) 공정에서, 상기 m+1번째 처리 유닛의 번호를 기록하는 공정; 및 (f) 상기 (b) 공정 후이며, 상기 (e) 공정에서 상기 기록된 처리 유닛의 번호를 사용하여 (X+2)번째의 격납 용기에 수용된 최초의 2매의 기판을, 상기 m+1번째 처리 유닛의 챔버에 반송하여 상기 m+1번째 처리 유닛의 복수의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정을 더 수행하도록 상기 제1 반송 로봇과 상기 제2 반송 로봇을 제어하는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면을 포함하는 복수의 재치면이 설치되고,
    상기 제어부는,
    (g) 상기 (a) 공정에서 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 복수의 재치면 중의 상기 제1 재치면에 재치하는 공정; 및 (h) 상기 (d) 공정에서 상기 (X+1)번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 복수의 재치면 중 상기 제1 재치면과는 다른 복수의 재치면 중의 상기 제2 재치면에 재치하는 공정을 더 수행하도록 상기 제1 반송 로봇을 제어하는 기판 처리 장치.
  4. 제2항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면을 포함하는 복수의 재치면이 설치되고,
    상기 제어부는,
    (g) 상기 (a) 공정에서 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 복수의 재치면 중의 상기 제1 재치면에 재치하는 공정; 및 (h) 상기 (d) 공정에서 상기 (X+1)번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 복수의 재치면 중 상기 제1 재치면과는 다른 복수의 재치면 중의 상기 제2 재치면에 재치하는 공정을 더 수행하도록 상기 제1 반송 로봇을 제어하는 기판 처리 장치.
  5. 제1항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
    상기 제어부는 홀수번째의 상기 격납 용기 내의 4Y-1번째(Y는 자연수)의 기판을 상기 제1 재치면에 반송하고,
    짝수번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하도록 상기 제1 반송 로봇을 제어하는 기판 처리 장치.
  6. 제2항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
    상기 제어부는 홀수번째의 상기 격납 용기 내의 4Y-1번째(Y는 자연수)의 기판을 상기 제1 재치면에 반송하고,
    짝수번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하도록 상기 제1 반송 로봇을 제어하는 기판 처리 장치.
  7. 제3항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
    상기 제어부는 홀수번째의 상기 격납 용기 내의 4Y-1번째(Y는 자연수)의 기판을 상기 제1 재치면에 반송하고,
    짝수번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하도록 상기 제1 반송 로봇을 제어하는 기판 처리 장치.
  8. 기판을 처리하는 챔버를 짝수 대 포함하는 복수의 처리 유닛과, 상기 처리 유닛이 복수 접속되는 진공 반송실과, 상기 진공 반송실에 접속되는 로드록 실과, 홀수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트와, 상기 로드록 실과 상기 로드 포트 사이에 설치되고 제1 반송 로봇을 포함하는 대기 반송실과, 상기 진공 반송실에 설치되고 상기 로드록 실과 상기 짝수 대의 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇을 포함하는 장치를 이용한 반도체 장치의 제조 방법으로서,
    (a) X번째(X는 자연수)의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내의 비어 있는 상태의 n번째(n은 자연수)의 챔버에 반송한 후, 상기 m번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정;
    (b) 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 비어 있는 상태의 n번째의 챔버에 반송한 후이며, X+1번째의 상기 격납 용기에 수용된 상기 홀수 매의 기판 내의 최초의 2개의 기판을 m+1번째의 처리 유닛 내의 챔버에 반송하고, 상기 m+1번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정;
    (c) 상기 (a) 공정 중에 상기 X번째의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판이 반송된 상기 n번째의 챔버 번호를 기록하는 공정; 및
    (d) 상기 (b) 공정 후이며, 상기 (c) 공정에서 기록된 챔버 번호에 기초하여, 상기 X+1번째의 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 상기 m번째 처리 유닛의 n+1번째의 챔버에 반송하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  9. 제8항에 있어서,
    (e) 상기 (b) 공정에서, 상기 m+1번째 처리 유닛의 번호를 기록하는 공정; 및
    (f) 상기 (b) 공정 후이며, 상기 (e) 공정에서 상기 기록된 처리 유닛의 번호를 사용하여 (X+2)번째의 격납 용기에 수용된 최초의 2매의 기판을, 상기 m+1번째 처리 유닛의 챔버에 반송하여 상기 m+1번째 처리 유닛의 복수의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 공정
    을 더 포함하는 반도체 장치의 제조 방법.
  10. 제8항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면을 포함하는 복수의 재치면이 설치되고,
    (g) 상기 (a) 공정에서 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 복수의 재치면 중의 상기 제1 재치면에 재치하는 공정; 및
    (h) 상기 (d) 공정에서 상기 (X+1)번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 복수의 재치면 중 상기 제1 재치면과는 다른 복수의 재치면 중의 상기 제2 재치면에 재치하는 공정
    을 더 포함하는 반도체 장치의 제조 방법.
  11. 제9항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면을 포함하는 복수의 재치면이 설치되고,
    (g) 상기 (a) 공정에서 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 복수의 재치면 중의 상기 제1 재치면에 재치하는 공정; 및
    (h) 상기 (d) 공정에서 상기 (X+1)번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 복수의 재치면 중 상기 제1 재치면과는 다른 복수의 재치면 중의 상기 제2 재치면에 재치하는 공정
    을 더 포함하는 반도체 장치의 제조 방법.
  12. 제8항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
    홀수번째의 상기 격납 용기 내의 4Y-1번째(Y는 자연수)의 기판을 상기 제1 재치면에 반송하는 공정; 및
    짝수번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하는 공정;
    을 더 포함하는 반도체 장치의 제조 방법.
  13. 제9항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
    홀수번째의 상기 격납 용기 내의 4Y-1번째(Y는 자연수)의 기판을 상기 제1 재치면에 반송하는 공정; 및
    짝수번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하는 공정;
    을 더 포함하는 반도체 장치의 제조 방법.
  14. 제10항에 있어서,
    상기 로드록 실에 제1 재치면과 제2 재치면이 설치되고,
    홀수번째의 상기 격납 용기 내의 4Y-1번째(Y는 자연수)의 기판을 상기 제1 재치면에 반송하는 공정; 및
    짝수번째의 상기 격납 용기 내의 4Y-3번째의 기판을 상기 제2 재치면에 반송하는 공정;
    을 더 포함하는 반도체 장치의 제조 방법.
  15. 기판을 처리하는 챔버를 짝수 대 포함하는 복수의 처리 유닛과, 상기 처리 유닛이 복수 접속되는 진공 반송실과, 상기 진공 반송실에 접속되는 로드록 실과, 홀수 매의 기판이 격납된 격납 용기를 복수 재치 가능한 로드 포트와, 상기 로드록 실과 상기 로드 포트 사이에 설치되고 제1 반송 로봇을 포함하는 대기 반송실과, 상기 진공 반송실에 설치되고 상기 로드록 실과 상기 짝수 대의 챔버 사이에서 상기 기판을 반송하는 제2 반송 로봇을 포함하는 장치에서 실행되는 프로그램이 기록된 기록 매체로서,
    (a) X번째(X는 자연수)의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 m번째(m은 자연수)의 상기 처리 유닛 내의 비어 있는 상태의 n번째(n은 자연수)의 챔버에 반송한 후, 상기 m번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 단계;
    (b) 상기 X번째의 격납 용기에 수용된 최후에 잔류한 하나의 기판을 상기 비어 있는 상태의 n번째의 챔버에 반송한 후이며, X+1번째의 상기 격납 용기에 수용된 상기 홀수 매의 기판 내의 최초의 2개의 기판을 m+1번째의 처리 유닛 내의 챔버에 반송하고, 상기 m+1번째 처리 유닛의 상기 짝수 대의 챔버 각각에 처리 가스를 공급하여 상기 기판을 처리하는 단계;
    (c) 상기 (a) 단계 중에 상기 X번째의 상기 격납 용기에 수용되고 최후에 잔류한 하나의 기판이 반송된 상기 n번째의 챔버 번호를 기록하는 단계; 및
    (d) 상기 (b) 단계 후이며, 상기 (c) 단계에서 기록된 챔버 번호에 기초하여, 상기 X+1번째의 격납 용기에 수용되고 최후에 잔류한 하나의 기판을 상기 m번째 처리 유닛의 n+1번째의 챔버에 반송하는 단계
    를 컴퓨터에 실행시키는 프로그램이 기록된 기록 매체.
  16. 제1항에 있어서,
    상기 제2 반송 로봇은 상기 기판을 한 번에 2매 반송 가능하도록 구성된 기판 처리 장치.
  17. 제8항에 있어서,
    상기 제2 반송 로봇은 상기 기판을 한 번에 2매 반송 가능하도록 구성된 반도체 장치의 제조 방법.
KR1020150185537A 2015-09-29 2015-12-24 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 KR101796542B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015191270A JP6089082B1 (ja) 2015-09-29 2015-09-29 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JPJP-P-2015-191270 2015-09-29

Publications (2)

Publication Number Publication Date
KR20170038139A KR20170038139A (ko) 2017-04-06
KR101796542B1 true KR101796542B1 (ko) 2017-11-10

Family

ID=58186039

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150185537A KR101796542B1 (ko) 2015-09-29 2015-12-24 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (5)

Country Link
US (1) US9728431B2 (ko)
JP (1) JP6089082B1 (ko)
KR (1) KR101796542B1 (ko)
CN (1) CN106558516B (ko)
TW (1) TWI608560B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6338989B2 (ja) * 2014-09-19 2018-06-06 東京エレクトロン株式会社 基板搬送方法
CN110462809B (zh) * 2017-04-06 2023-07-25 东京毅力科创株式会社 基片处理装置和基片输送方法
KR102164067B1 (ko) * 2017-09-29 2020-10-12 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
JP7137408B2 (ja) * 2017-09-29 2022-09-14 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
JP2019125736A (ja) * 2018-01-18 2019-07-25 株式会社Kokusai Electric 基板処理システム、半導体装置の製造方法、基板処理装置、プログラム
JP6704008B2 (ja) * 2018-03-26 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
CN112005356A (zh) * 2018-05-03 2020-11-27 应用材料公司 高速旋转分类器中的基板倾斜控制
US11270899B2 (en) * 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
US11031264B2 (en) * 2018-08-15 2021-06-08 Taiwan Semoconductor Manufacturing Co., Ltd. Semiconductor device manufacturing system
JP6719523B2 (ja) * 2018-09-18 2020-07-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
JP7126466B2 (ja) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7240980B2 (ja) * 2019-07-29 2023-03-16 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US11823932B2 (en) * 2020-08-26 2023-11-21 Samsung Electronics Co., Ltd. Substrate processing system and substrate processing apparatus
JP7227950B2 (ja) * 2020-09-23 2023-02-22 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN113314448B (zh) * 2021-05-13 2022-07-22 长江存储科技有限责任公司 半导体传输设备及其控制方法
CN113707585A (zh) * 2021-08-23 2021-11-26 上海引万光电科技有限公司 一种磁悬浮式衬底传送腔及传送方法
JP7430677B2 (ja) 2021-09-21 2024-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN114446837A (zh) * 2022-02-23 2022-05-06 上海普达特半导体设备有限公司 一种环形分布式半导体设备

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950948A (ja) 1995-08-08 1997-02-18 Kokusai Electric Co Ltd 半導体製造装置の障害対処システム
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP2001015572A (ja) 1999-06-30 2001-01-19 Matsushita Electric Ind Co Ltd プロセス設備における搬送制御方法および装置
JP4334817B2 (ja) 2002-05-15 2009-09-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7385937B2 (en) * 2003-07-23 2008-06-10 International Business Machines Corporation Method and system for determining a path between two points of an IP network over which datagrams are transmitted
US20050187647A1 (en) * 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP2008135517A (ja) 2006-11-28 2008-06-12 Tokyo Electron Ltd 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP2010014100A (ja) 2008-07-07 2010-01-21 Hitachi Ltd 翼エロージョン監視方法及び翼エロージョン監視システム
JP5106331B2 (ja) * 2008-09-16 2012-12-26 東京エレクトロン株式会社 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム
JP2010141000A (ja) * 2008-12-10 2010-06-24 Hitachi Kokusai Electric Inc 基板処理装置
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US9312155B2 (en) * 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP6026801B2 (ja) * 2011-10-19 2016-11-16 株式会社日立国際電気 基板処理装置、基板搬送方法及び半導体装置の製造方法
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
JP6235294B2 (ja) * 2013-10-07 2017-11-22 東京エレクトロン株式会社 基板搬送室及び容器接続機構

Also Published As

Publication number Publication date
CN106558516B (zh) 2019-07-30
US20170092517A1 (en) 2017-03-30
JP2017069315A (ja) 2017-04-06
US9728431B2 (en) 2017-08-08
JP6089082B1 (ja) 2017-03-01
CN106558516A (zh) 2017-04-05
KR20170038139A (ko) 2017-04-06
TW201712784A (zh) 2017-04-01
TWI608560B (zh) 2017-12-11

Similar Documents

Publication Publication Date Title
KR101796542B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101786878B1 (ko) 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체
KR102035294B1 (ko) 기판 처리 장치
KR101880516B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6704008B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6719523B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP7191910B2 (ja) 基板処理システム、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant