TWI608560B - Substrate processing apparatus, manufacturing method of semiconductor apparatus, program, and recording medium - Google Patents

Substrate processing apparatus, manufacturing method of semiconductor apparatus, program, and recording medium Download PDF

Info

Publication number
TWI608560B
TWI608560B TW104143858A TW104143858A TWI608560B TW I608560 B TWI608560 B TW I608560B TW 104143858 A TW104143858 A TW 104143858A TW 104143858 A TW104143858 A TW 104143858A TW I608560 B TWI608560 B TW I608560B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
gas
processing
mounting surface
Prior art date
Application number
TW104143858A
Other languages
English (en)
Other versions
TW201712784A (zh
Inventor
Naofumi Ohashi
Toshiyuki Kikuchi
Shun Matsui
Tadashi Takasaki
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201712784A publication Critical patent/TW201712784A/zh
Application granted granted Critical
Publication of TWI608560B publication Critical patent/TWI608560B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

基板處理裝置、半導體裝置之製造方法、程式及記錄媒體
本發明,係有關於基板處理裝置、半導體裝置之製造方法、程式及記錄媒體。
在近年之半導體裝置的製造中,少Lot多品種化係有所進展。係對於在此少Lot多品種之製造中的生產性之提昇有所期望。作為因應於此要求之其中一個手法,在具備有複數之處理室的單片式裝置中,係存在有使生產性提昇之方法。
係存在有會起因於被設置在處理裝置處之處理室的數量與處理枚數之間的不一致而導致生產性降低的課題。
本發明,係以提供一種能夠使具備有複數之處理室的處理裝置之生產性提昇的技術一事,作為目的。
若依據其中一種形態,則係提供一種技術,亦即是,係具備有:對於基板進行處理之腔;和具備有複數之腔之處理單元;和被連接有複數之處理單元之真空搬送室;和被與真空搬送室作連接之裝載鎖定室;和可將儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和被設置在裝載鎖定室和裝載埠之間,並具備有第1搬送機器人之大氣搬送室;和被設置於真空搬送室處,並在裝載鎖定室和腔之間而搬送基板之第2搬送機器人,並具備有:控制部,係以將被收容於第X個(X為自然數)的儲存容器中之最後的基板,搬送至第m個(m為自然數)之處理單元之中之不存在有基板的狀態之複數之腔之中之1個的腔中,並將被收容在第X+1個的儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中的方式,來對於第1搬送機器人和第2搬送機器人作控制。
若依據本發明之技術,則係成為能夠使在具備有複數之處理室的處理裝置中之生產性提昇。
100‧‧‧腔
110‧‧‧製程模組
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理容器
211‧‧‧載置面
212‧‧‧基板載置台
215‧‧‧外周面
232a‧‧‧第1緩衝空間
232b‧‧‧第2緩衝空間
234‧‧‧噴淋頭
234a‧‧‧第1分散孔
234b‧‧‧第2分散孔
234c‧‧‧第3分散孔
234d‧‧‧第4分散孔
241a‧‧‧第1氣體導入口
241b‧‧‧第2氣體導入口
1000‧‧‧基板處理系統
1100‧‧‧IO平台
1200‧‧‧大氣搬送室
1220‧‧‧第1搬送機器人(大氣搬送機器人)
1300‧‧‧裝載鎖定室
1400‧‧‧真空搬送室
1700‧‧‧第2搬送機器人(真空搬送機器人)
〔圖1〕係為其中一種實施形態之基板處理系統的橫 剖面之概略圖。
〔圖2〕係為其中一種實施形態之基板處理系統的縱剖面之概略圖。
〔圖3〕係為其中一種實施形態之基板處理系統的真空搬送機器人之概略圖。
〔圖4〕係為其中一種實施形態之基板處理裝置的概略構成圖。
〔圖5〕係為其中一種實施形態之腔的縱剖面之概略圖。
〔圖6〕係為其中一種實施形態之基板處理系統的控制器之概略構成圖。
〔圖7〕係為其中一種實施形態的第1基板處理工程之流程圖。
〔圖8〕係為其中一種實施形態的第1基板處理工程之序列圖。
〔圖9〕係為其中一種實施形態的第2基板處理工程之流程圖。
〔圖10〕係為其中一種實施形態的第2基板處理工程之序列圖。
〔圖11〕係為關連於先前技術之搬送序列之圖。
〔圖12〕係為其中一種實施形態的25枚搬送序列(a)之例。
〔圖13〕係為其中一種實施形態的13枚搬送序列(a)之例。
〔圖14〕係為其中一種實施形態的25枚搬送序列(b)之例。
〔圖15〕係為其中一種實施形態的13枚搬送序列(b)之例。
〔圖16〕係為其中一種實施形態的25枚搬送序列(c)之例。
〔圖17〕係為其中一種實施形態的13枚搬送序列(c)之例。
<第1實施形態>
以下,根據圖面,針對本發明之第1實施形態作說明。
以下,針對本實施形態之基板處理系統作說明。
(1)基板處理系統之構成
使用圖1~圖4,針對本發明之其中一種實施形態之基板處理系統的概要構成作說明。圖1,係為對於本實施形態之基板處理系統的構成例作展示之橫剖面圖。圖2,係為對於本實施形態之基板處理系統的構成例作展示之圖1之α-α'處的縱剖面圖。圖3,係為對於圖1之臂的詳細作了說明之說明圖。圖4,係為圖1之β-β'之縱剖面圖,並為對於供給至製程模組之氣體供起系作說明之說明 圖。圖5,係為對於被設置在製程模組處之腔作說明之說明圖。
在圖1以及圖2中,本發明所被作適用之基板處理系統1000,係為對於晶圓200進行處理者,並主要藉由IO平台1100、大氣搬送室1200、裝載鎖定室1300、真空搬送室1400、製程模組110而構成。接著,針對各構成作具體性說明。在圖1之說明中,前後左右,係使X1方向成為右、X2方向成為左、Y1方向成為前、Y2方向成為後。另外,在晶圓200之表面上,係被形成有半導體裝置,在基板處理系統1000處,係進行有半導體裝置製造之其中一個工程。於此,所謂半導體裝置,係指包含有積體電路或電子元件單體(電阻元件、線圈元件、電容器元件、半導體元件)的其中一者或者是複數者。又,係亦可為在半導體裝置之製造途中而成為必要的假(dummy)膜。
(大氣搬送室、IO平台)
在基板處理系統1000之前方、係設置有IO平台(裝載埠)1100。在IO平台1100上,係搭載有複數之盒1001。盒1001,係作為搬送矽(Si)基板等之基板200的載體而被使用,在盒1001內,係構成為將未處理之基板(晶圓)200或完成處理之基板200分別以水平姿勢來作複數儲存。
在盒1001處,係被設置有帽1120,並藉由後 述之開盒器1210而被作開閉。開盒器1210,係藉由將被載置在IO平台1100上之盒1001的帽1120作開閉,而使基板進出口作開放、閉鎖,並藉由此而使相對於盒1001之基板200的進出成為可能。盒1001,係成為經由未圖示之工程內搬送裝置(RGV),來相對於IO平台1100而進行供給以及排出。
IO平台1100,係鄰接於大氣搬送室1200。大氣搬送室1200,係在與IO平台1100相異之面處,被連結有後述之裝載鎖定室1300。
在大氣搬送室1200內,係被設置有將基板200作移載之作為第1搬送機器人的大氣搬送機器人1220。如同圖2中所示一般,大氣搬送機器人1220,係構成為經由被設置在大氣搬送室1200中之升降器1230而作升降,並且經由線性致動器1240而朝向左右方向作往返移動。
如同圖2中所示一般,在大氣搬送室1200之上部,係被設置有供給清淨空氣之清淨單元1250。又,如同圖1中所示一般,在大氣搬送室1200之左側,係被設置有將被形成在基板200處之缺口或定向平面作對位的裝置(以下,稱作預對位器)1260。
如同圖1以及圖2中所示一般,在大氣搬送室1200之框體1270的前側處,係被設置有將基板200對於大氣搬送室1200而作搬入搬出之基板搬入搬出口1280、和開盒器1210。挾持著基板搬入搬出口1280,在 與開盒器1210相反側處,亦即是在框體1270之外側處,係被設置有IO平台(裝載埠)1100。
在大氣搬送室1200之框體1270的後側處,係被設置有用以將晶圓200對於裝載鎖定室1300作搬入搬出之基板搬入搬出口1290。基板搬入搬出口1290,係藉由以後述之閘閥1330來作開放、閉鎖,而成為能夠進行晶圓200之進出。
(裝載鎖定(L/L)室)
裝載鎖定室1300,係鄰接於大氣搬送室1200。在構成裝載鎖定室1300的框體1310所具備之面中之與大氣搬送室1200相異之面處,係如同後述一般,被配置有真空搬送室1400。裝載鎖定室1300,由於配合於大氣搬送室1200之壓力和真空搬送室1400之壓力,框體1310內之壓力係會變動,因此係構成為能夠耐住負壓的構造。
在框體1310中之與真空搬送室1400相鄰接之側處,係被設置有基板搬入搬出口1340。基板搬入搬出口1340,係藉由以閘閥1350來作開放、閉鎖,而成為能夠進行晶圓200之進出。
進而,在裝載鎖定室1300內,係被設置有具備有至少2個的載置晶圓200之載置面1311的基板載置台1320。於此,2個的載置面,係設為第1載置面1311a和第2載置面1311b。基板載置面1311間之距離,係因應於後述之真空搬送機器人1700所具備的指(finger)之 間之距離而被作設定。
(真空搬送室)
基板處理系統1000,係具備有成為在負壓下而使基板200被作搬送的搬送空間之作為搬送室的真空搬送室(轉移模組)1400。構成真空搬送室1400之框體1410,係當平面觀察時被形成為五角形,在五角形之各邊處,係被連結有裝載鎖定室1300以及對於晶圓200進行處理之製程模組110a~110d。在真空搬送室1400之略中央部處,係以凸緣1430作為基部,而設置有在負壓下而將基板200作移載(搬送)之作為第2搬送機器人的真空搬送機器人1700。另外,於此,雖係針對真空搬送室1400為五角形之例而作展示,但是,係亦可為四角形或六角形等之多角形。另外,較理想,製程模組,係設置有偶數台。
在框體1410之側壁中的與裝載鎖定室1300相鄰接之側處,係被設置有基板搬入搬出口1420。基板搬入搬出口1420,係藉由以閘閥1350來作開放、閉鎖,而成為能夠進行晶圓200之進出。
被設置在真空搬送室1400內之真空搬送機器人1700,係如同圖2中所示一般,構成為能夠經由升降器1450以及凸緣1430來在維持真空搬送室1400之氣密性的狀態下而作升降。真空搬送機器人1700之詳細的構成,係於後再述。升降器1450,係構成為能夠使真空搬送機器人1700所具有之2個的臂1800和1900分別獨立 地作升降。
在框體1410之頂板處,係設置有用以對於框體1410內供給惰性氣體之惰性氣體供給孔1460。在惰性氣體供給孔1460處,係被設置有惰性氣體供給管1510。在惰性氣體供給管1510處,係從上游起而依序被設置有惰性氣體源1520、質量流控制器1530、閥1540,並構成為能夠對於框體1410內而以特定之流量來供給惰性氣體。
主要,係藉由惰性氣體供給管1510、質量流控制器1530、閥1540,來構成在真空搬送室1400中之惰性氣體供給部1500。另外,係亦能夠使惰性氣體源1520、氣體供給孔1460被包含在惰性氣體供給部1500中。
在框體1410之底壁處,係被形成有用以將框體1410之氛圍作排氣之排氣孔1470。在排氣孔1470處,係被設置有排氣管1610。在排氣管1610處,係從上游起而依序被設置有身為壓力控制器之APC(Auto Pressure Controller)1620、和幫浦1630。
主要,係藉由排氣管1610、APC1620,來構成在真空搬送室1400中之氣體排氣部1600。另外,亦可使幫浦1630、排氣孔1470被包含在氣體排氣部中。
藉由惰性氣體供給部1500、氣體排氣部1600之協同動作,真空搬送室1400之氛圍係被作控制。例如,框體1410內之壓力係被作控制。
如同圖1中所示一般,在框體1410之5枚的側壁中之並未被設置有裝載鎖定室1300之側處,係被連結有對於晶圓200而進行所期望的處理之製程模組110a、110b、110c、110d。
在製程模組110a、110b、110c、110d之各者處,係被設置有腔100。具體而言,製程模組110a係被設置有腔100a、100b。在製程模組110b處,係被設置有腔100c、100d。在製程模組110c處,係被設置有腔100e、100f。在製程模組110d處,係被設置有腔100g、100h。另外,較理想,在各製程模組處,腔係被設置有偶數台。
在框體1410之側壁中的與各腔100相對向之壁處,係被設置有基板搬入搬出口1480。例如,如同圖2中所記載一般,在與腔100e相對向之壁處,係被設置有基板搬入搬出口1480e。
當將圖2中之腔100e置換為腔100a的情況時,與腔100a相對向之壁處,係被設置有基板搬入搬出口1480a。
同樣的,當將腔100f置換為腔100b的情況時,與腔100b相對向之壁處,係被設置有基板搬入搬出口1480b。
閘閥1490,係如同圖1中所示一般,在各處理室之每一者中被作設置。具體而言,在與腔100a之間係被設置有閘閥1490a,在與腔100b之間係被設置有閘 閥1490b。在與腔100c之間係被設置有閘閥1490c,在與腔100d之間係被設置有閘閥1490d。在與腔100e之間係被設置有閘閥1490e,在與腔100f之間係被設置有閘閥1490f。在與腔100g之間係被設置有閘閥1490g,在與腔100h之間係被設置有閘閥1490h。
藉由以各閘閥1490來作開放、閉鎖,而使經由基板搬入搬出口1480所進行的晶圓200之進出成為可能。
接著,使用圖3來針對在真空搬送室1400中所搭載的真空搬送機器人1700作說明。圖3,係為將圖1之真空搬送機器人1700作了擴大之圖。
真空搬送機器人1700,係具備有2個的臂1800以及臂1900。臂1800,係於前端具備著被設置有2個的端接器(end effector)1810和端接器1820的叉部分(fork portion)1830。在叉部分1830之根部處,係經由軸1850而被連接有中間部分1840。
在端接器1810和端接器1820處,係被載置有從各自的製程模組110所搬出的晶圓200。在圖2中,係針對被載置有從製程模組110c所搬出的晶圓200之例作展示。
在中間部分1840中之與叉部分1830相異的場所處,係經由軸1870而被連接有底部分1860。底部分1860,係經由軸1880而被配置在凸緣1430處。
臂1900,係於前端具備著被設置有2個的端 接器1910和端接器1920的叉部分1930。在叉部分1930之根部處,係經由軸1950而被連接有中間部分1940。
在端接器1910和端接器1920處,係被載置有從裝載鎖定室1300所搬出的晶圓200。
在中間部分1940中之與叉部分1930相異的場所處,係經由軸1970而被連接有底部分1960。底部分1960,係經由軸1980而被配置在凸緣1430處。
端接器1810、端接器1820,係被配置在較端接器1910、端接器1920而更高的位置處。
真空搬送機器人1700,係可進行以軸作為中心之旋轉和臂的延伸。
另外,真空搬送機器人1700,係構成為將被搬送至載置面1311a處的基板,搬送至腔(ch1)100a、腔(ch3)100c、腔(ch5)100e、腔(ch7)100g處,並將被搬送至載置面1311b處的基板,搬送至腔(ch2)100b、腔(ch4)100d、腔(ch6)100f、腔(ch8)100h處。
(製程模組PM)
接著,在各製程模組(處理單元)110中,針對製程模組110a來以圖1、圖2、圖4為例而作說明。圖4,係為對於製程模組110a和被連接於製程模組110a處之氣體供給部以及被連接於製程模組110a處之氣體排氣部之間的關聯作說明之說明圖。
於此,雖係以製程模組110a為例,但是,在其他之製程模組110b、製程模組110c以及製程模組110d處,由於亦係身為相同的構造,因此於此係省略說明。
如同圖4中所記載一般,在製程模組110a處,係被設置有2個的對於晶圓200進行處理之腔。於此,係被設置有腔100a和腔100b。在腔100a和腔100b之間,係被設置有隔壁2040a,並構成為不會使各個的腔內之氛圍混合存在。
如同圖2中所記載一般,在腔100e與真空搬送室1400相鄰接之壁處,係被設置有基板搬入搬出口2060e,同樣的,在腔100a與真空搬送室1400相鄰接之壁處,係被設置有基板搬入搬出口2060a。
在各腔100處,係被設置有將晶圓200作支持之基板支持部210。
在製程模組110a處,係被連接有對於腔100a和腔100b之各者供給處理氣體之氣體供給部。氣體供給部,係藉由第1氣體供給部(處理氣體供給部)、第2氣體供給部(反應氣體供給部)、第3氣體供給部(第1清洗氣體供給部)、第4氣體供給部(第2清洗氣體供給部)等所構成。針對各氣體供給部之構成作說明。
(第1氣體供給部)
如同圖4中所示一般,在從處理氣體源113而至製程模組110a之間,係分別被設置有緩衝槽114、和質量流 控制器(MFC)115a、115b、和處理室側閥116(116a、116b)。又,此些,係藉由處理氣體共通管112、處理氣體供給管111a、111b等而被作連接。藉由此些之處理氣體共通管112、MFC115a、115b、處理室側閥116(116a、116b)、第1氣體供給管(處理氣體供給管)111a、111b,來構成第1氣體供給部。另外,係亦能夠以將處理氣體源113包含在第1氣體供給部中的方式來構成之。又,係亦可因應於被設置在基板處理系統處之製程模組的數量,來對於同樣之構成作增減而構成之。
於此,MFC,係可為將電性之質量流量計和流量控制作組合所構成之流量控制裝置,亦可為針閥、限流孔等之流量控制裝置。後述之MFC,係亦可設為相同之構成。當藉由針閥或限流孔等之流量控制裝置來構成的情況時,係成為易於將氣體供給以高速來作脈衝性之切換。
(第2氣體供給部)
如同圖4中所示一般,在從反應氣體源123而至製程模組110a之間,係分別被設置有作為活性化部之遠端電漿單元(RPU)124、和MFC125a、125b、和處理室側閥126(126a、126b)。此些之各構成,係藉由反應氣體共通管122和第2氣體供給管(反應氣體供給管)121a、121b等而被作連接。藉由此些之RPU124、MFC125a、125b、處理室側閥126(126a、126b)、反應氣體共通管 122、反應氣體供給管121a、121b,來構成第2氣體供給部。
另外,係亦能夠以將反應氣體供給源123包含在第2氣體供給部中的方式來構成之。又,係亦可因應於被設置在基板處理系統處之製程模組的數量,來對於同樣之構成作增減而構成之。
又,係亦可構成為在處理室側閥126(閥126a、126b)之前設置排氣管線171a、171b和排氣閥170(170a、170b)並將反應氣體排氣。藉由設置排氣管線,係能夠使失活後的反應氣體或者是反應性有所降低的反應氣體並不通過處理室地來作排出。
(第3氣體供給部(第1清洗氣體供給部))
如同圖4中所示一般,在從第1清洗氣體(惰性氣體)源133而至製程模組110a之間,係被設置有MFC135a、135b、和處理室側閥136(136a、136b)、和閥176a、176b、186a、186b等。此些之各構成,係藉由清洗氣體(惰性氣體)共通管132和清洗氣體(惰性氣體)供給管131a、131b等而被作連接。藉由此些之MFC135a、135b、處理室側閥136(136a、136b)、惰性氣體共通管132、惰性氣體供給管131a、131b等,來構成第3氣體供給部。另外,係亦能夠以將清洗氣體(惰性氣體)源133包含在第3氣體供給部(第1清洗氣體供給部)中的方式來構成之。又,係亦可因應於被設置在基板 處理系統處之製程模組的數量,來對於同樣之構成作增減而構成之。
(第4氣體供給部(第2清洗氣體供給部))
如同圖4中所示一般,第4氣體供給部,係構成為能夠經由處理氣體供給管111a、111b、反應氣體供給管121a、121b之各者而對於各處理室110a、110b供給惰性氣體。在從第2清洗氣體(惰性氣體)源143而至各供給管之間,係被設置有第2清洗氣體供給管141a、141b、151a、151b、MFC145a、145b、155a、155b、閥146a、146b、156a、156b等。藉由此些之構成,而構成第4氣體供給部(第2清洗氣體供給部)。另外,於此,雖係將第3氣體供給部和第4氣體供給部之氣體源個別地構成,但是,係亦能夠以作統籌並僅設置1個的方式來構成之。
又,在製程模組110a處,係被連接有對於腔100a內之氛圍和腔100b內之氛圍分別作排氣的氣體排氣部。如同圖4中所示一般,於排氣幫浦223a和腔100a、100b之間,係被設置有APC(Auto Pressure Controller)222a、共通氣體排氣管225a、處理室排氣管224a、224b等。藉由此些之APC222a、共通供給氣體排氣管225a、處理室排氣管224a、224b,而構成氣體排氣部。如此這般,腔100a內之氛圍和腔100b內之氛圍,係構成為藉由1個的排氣幫浦來排氣。另外,係亦可設置能夠對於處理室排氣管224a、224b各者之排氣導度作調整的導度調整 部226a、226b、亦可將此些設為氣體排氣部之其中一個構成。又,係亦可將排氣幫浦223a設為氣體排氣部之其中一個構成。
接著,針對本實施形態之腔100作說明。腔100,係如同圖5中所示一般,作為單片式基板處理裝置而構成之。在腔中,係進行有半導體裝置製造之其中一個工程。另外,腔100a、100b、100c、100d、100e、100f、100g、100h,係與圖5中所示之構成同樣地來構成之。於此,係以腔100a為例來作說明。
如同圖5中所示一般,腔100係具備有處理容器202。處理容器202,例如係作為橫剖面為圓形之扁平的密閉容器來構成之。又,處理容器202,例如係經由鋁(Al)或不鏽鋼(SUS)等之金屬材料或者是石英來構成。在反應容器202內,係被形成有對於作為基板之矽晶圓等的晶圓200進行處理之處理空間(處理室)201、搬送空間203。處理容器202,係藉由上部容器202a和下部容器202b而構成。在上部容器202a和下部容器202b之間,係被設置有區隔板204。將被上部容器202a所包圍的空間之較區隔板204而更上方的空間,稱作處理空間(亦稱作處理室)201,將被下部容器202b所包圍的空間之較區隔板而更下方的空間,稱作搬送空間。
在下部容器202b之側面,係被設置有與閘閥1490相鄰接之基板搬入搬出口1480,晶圓200,係經由基板搬入搬出口203而在與未圖示之搬送室之間作移動。 在下部容器202b的底部,係被設置有複數之舉升銷207。進而,下部容器202b係被作接地。
在處理室201內,係被設置有將晶圓200作支持之基板支持部210。基板支持部210,係具備有載置晶圓200之載置面211、和於表面持有載置面211之基板載置台212。另外,在基板支持部210,係亦可設置作為加熱部之加熱器213。藉由設置加熱部,來加熱基板,而能夠使被形成在基板上之膜的品質提昇。在基板載置台212處,使舉升銷207作貫通之貫通孔214,係亦可分別設置在與舉升銷207相對應的位置處。
基板載置台212,係藉由軸217而被支持。軸217,係貫通處理容器202之底部,並進而在處理容器202之外部而被與升降機構218作連接。藉由使升降機構218動作並使軸217以及支持台212作升降,係成為能夠使被載置在基板載置面211上之晶圓200作升降。另外,軸217下端部之周圍,係被蛇腹管219所覆蓋,處理室201內係被保持為氣密。
基板載置台212,在晶圓200之搬送時,係以使基板載置面211會成為基板搬入搬出口1480之位置(晶圓搬送位置)的方式,而一直下降至基板支持台處,在晶圓200之處理時,係如同圖5中所示一般,使晶圓200一直上升至處理室201內之處理位置(晶圓處理位置)處。
具體而言,在使基板載置台212一直下降至 晶圓搬送位置處時,舉升銷207之上端部係從基板載置面211之上面而突出,舉升銷207係成為從下方來將晶圓200作支持。又,在使基板載置台212一直上升至晶圓處理位置處時,舉升銷207係從基板載置面211之上面而被埋沒,基板載置面211係成為從下方來將晶圓200作支持。另外,舉升銷207,由於係與晶圓200直接作接觸,因此,例如係以藉由石英或氧化鋁等之材質來形成為理想。另外,亦可在舉升銷207處設置升降機構,並構成為使基板載置台212和舉升銷207作相對性移動。
(排氣系)
在處理室201(上部容器202a)之內壁處,係被設置有作為將處理室201之氛圍作排氣的第1排氣部之排氣口221。在排氣口221處,係被連接有處理室排氣管224,並依序串聯地連接有閥227。主要藉由排氣口221、處理室排氣管224,而構成第1排氣部(排氣管線)220。另外,係亦能夠以將閥227包含在第1排氣部中的方式來構成之。
(氣體導入口)
在上部容器202a之側壁處,係被設置有用以對於處理室201內供給各種氣體的第1氣體導入口241a。在第1氣體導入口241a處,係被連接有第1氣體供給管111a。又,在被設置於處理室201之上部的噴淋頭234之上面 (頂板壁)處,係被設置有用以對於處理室201內供給各種氣體的第2氣體導入口241b。在第2氣體導入口241b處,係被連接有第2氣體供給管121b。針對被連接於作為第1氣體供給部之一部分而被構成的第1氣體導入口241a以及作為第2氣體供給部之一部分而被構成的第2氣體導入口241b處之各氣體供給單元的構成,係於後再述。另外,亦可構成為將被供給有第1氣體之第1氣體導入口241a設置在噴淋頭234之上面(頂板壁)處,並將第1氣體從第1緩衝空間232a之中央來作供給。藉由從中央來作供給,第1緩衝空間232a內之氣體流係從中心而朝向外周流動,並使空間內之氣體流動成為均勻,而能夠使對於晶圓200之氣體供給量均一化。
(氣體分散單元)
噴淋頭234,係藉由第1緩衝室(空間)232a、第1分散孔234a、第2緩衝室(空間)232b以及第2分散孔234b所構成。噴淋頭234,係被設置在第2氣體導入口241b與處理室201之間。從第1氣體導入口241a所導入的第1氣體,係被供給至噴淋頭234之第1緩衝空間232a(第1分散部)處。進而,第2氣體導入口241b係被連接於噴淋頭234之蓋231處,從第2氣體導入口241b所導入的第2氣體,係經由被設置在蓋231處之孔231a而被供給至噴淋頭234之第2緩衝空間232b(第2分散部)處。噴淋頭234,例如,係藉由石英、氧化鋁、 不鏽鋼、鋁等之材料所構成。
另外,亦可藉由具有導電性之金屬來形成噴淋頭234之蓋231,並作為用以使第1緩衝空間232a、第2緩衝空間232b或者是處理室201內的氣體激勵之活性化部(激勵部)。此時,在蓋231和上部容器202a之間,係被設置有絕緣塊233,並將蓋231和上部容器202a之間絕緣。亦可在作為活性化部之電極(蓋231)處,連接整合器251和高頻電源252,並構成為能夠供給電磁波(高頻電力或微波)。
在第2緩衝空間232b處,係亦可設置形成被供給而來的第2氣體之氣流的氣體導引部235。氣體導引部235,係為以孔231a作為中心並隨著朝向晶圓200之直徑方向而使直徑擴廣的圓錐形狀。氣體導引部235之下端的水平方向之直徑,係較第1分散孔234a以及第2分散孔234b之端部而更朝向外周延伸地來形成。
在第1緩衝空間232a之內壁上面,係被設置有作為將第1緩衝空間232a之氛圍作排氣的第1噴淋頭排氣部之噴淋頭排氣口240a。在噴淋頭排氣口240a處,係被連接有噴淋頭排氣管236,在排氣管236處,係被依序串聯連接有閥237x、將第1緩衝空間232a內控制為特定之壓力之閥237。主要是藉由噴淋頭排氣口240a、閥237x、排氣管236,而構成第1噴淋頭排氣部。
在第2緩衝空間232b之內壁上面,係被設置有作為將第2緩衝空間232b之氛圍作排氣的第2噴淋頭 排氣部之噴淋頭排氣口240b。在噴淋頭排氣口240b處,係被連接有噴淋頭排氣管236,在噴淋頭排氣管236處,係被依序串聯連接有閥237y、將第2緩衝空間232b內控制為特定之壓力之閥237。主要是藉由噴淋頭排氣口240b、閥237y、噴淋頭排氣管236,而構成第2噴淋頭排氣部。
接著,針對身為第1氣體供給部之第1緩衝空間232a和身為第2氣體供給部之第2緩衝空間232b之間的關係作說明。從第1緩衝空間232a朝向處理室201而延伸有複數之分散孔234a。從第2緩衝空間232b朝向處理室201而延伸有複數之分散孔234b。在第1緩衝空間232a之上側,係被設置有第2緩衝空間232b。因此,如同圖5中所示一般,從第2緩衝空間232b而來之分散孔(分散管)234b係以貫通第1緩衝空間232a內的方式而朝向處理室201延伸。
(供給系)
在被連接於噴淋頭234之蓋231處的氣體導入口241處,係被連接有氣體供給部。從氣體供給部係供給有處理氣體、反應氣體、清洗氣體。
(控制部)
如同圖5中所示一般,腔100,係具備有對於腔100之各部的動作作控制之控制器260。
於圖6中對於控制器260之概略作展示。身為控制部(控制手段)之控制器260,係作為具備有CPU(Central Processing Unit)260a、RAM(Random Access Memory)260b、記憶裝置260c、I/O埠260d的電腦,而被構成。RAM260b、記憶裝置260c、I/O埠260d,係構成為能夠經由內部匯流排260e而與CPU260a進行資料交換。係構成為能夠在控制器260處而例如連接作為觸控面板等而構成之輸入輸出裝置261、外部記憶裝置262、受訊部285等。
記憶裝置260c,例如係藉由快閃記憶體、HDD(Hard Disk Drive)等而構成。在記憶裝置260c內,係可讀出地而被儲存有對於基板處理裝置之動作作控制的控制程式、和後述之記載有基板處理之程序和條件等的製程配方、於直到設定對於基板200之製程配方為止的演算過程中所使用之處理資料等。另外,製程配方,係為以能夠使控制器260實行在後述之基板處理工程中之各程序並且能夠得到特定之結果的方式而作了組合者,並作為程式而起作用。以下,亦會將此程式配方和控制程式等單純總稱為程式。另外,在本說明書中,當使用了「程式」此一用語時,係會有僅包含程式配方之單體的情況,或是僅包含控制程式之單體的情況,或者是包含有此雙方的情況。又,RAM260b,係作為將藉由CPU260a所讀出的程式、演算資料、處理資料等暫時性地作保持之記憶體區域(工作區域)而構成之。
I/O埠260d,係被與閘閥1330、1350、1490、升降機構218、加熱器213、壓力調整器222、238、真空幫浦223、整合器251、高頻電源252等作連接。又,係亦能夠被與後述之大氣搬送機器人1220、大氣搬送機器人1700、裝載鎖定單元1300、質量流控制器(MFC)115(115a、115b)、125(125a、125b、125x)、135(135a、135b、135x)、145(145a、145b、145x)、155(155a、155b)、165(165a、165b)、閥237(237e、237f)、處理室側閥116(116a、116b)、126(126a、126b)、136(136a、136b)、176(176a、176b)、186(186a、186b)、槽側閥160、排氣閥170(170a、170b)、遠端電漿單元(RPU)124等作連接。
作為演算部之CPU260a,係構成為讀出從記憶裝置260c而來之控制程式並實行,並且因應於從輸入輸出裝置260而來之操作指令的輸入等而從記憶裝置260c讀出製程配方。又,係構成為能夠對於從受訊部285所輸入的設定值和被記憶在記憶裝置260c中之製程配方或控制資料作比較、演算,並算出演算資料。又,係構成為能夠根據演算資料而實行所對應之處理資料(製程配方)之決定處理等。又,CPU260a,係構成為依循於所讀出之製程配方之內容,來對於由閘閥1330、1350、1490(1490a、1490b、1490c、1490d、1490e、1490f、1490g、1490h)之開閉動作、升降機構218之升降動作、對於加熱器213之電力供給動作、壓力調整器222 (222a)、238之壓力調整動作、真空幫浦223之ON、OFF控制、遠端電漿單元124之氣體的活性化動作、MFC115(115a、115b)、125(125a、125b)、135(135a、135b)之流量調整動作、閥237(237e、237f)、處理室側閥116(116a、116b)、126(126a、126b、126c、126d)、136(136a、136b)、176(176a、176b)、186(186a、186b)、槽側閥160、排氣閥170(170a、170b)之氣體的ON、OFF控制、整合器251之電力之整合動作、高頻電源252之ON、OFF控制等進行控制。
另外,控制器260,係並不被限定於作為專用之電腦而構成的情況,亦可作為汎用之電腦而構成之。例如,係可藉由準備儲存有上述之程式的外部記憶裝置(例如,磁帶、軟碟或硬碟等之磁碟、CD或DVD等之光碟、MO等之光磁碟、USB記憶體或記憶卡等之半導體記憶體)262,並使用該外部記憶裝置262來將程式安裝至汎用之電腦中等,來構成本實施形態之控制器260。另外,用以對於電腦供給程式之手段,係並不被限定於經由外部記憶裝置262來作供給的情況。例如,係亦可構成為經由受訊部285來使用網路263(網際網路或者是專用線路)等之通訊手段來並不經由外部記憶裝置262地而供給程式。另外,記憶裝置260c或外部記憶裝置262,係作為電腦可讀取之記錄媒體而構成之。以下,亦將此些單純統稱為記錄媒體。另外,在本說明書中,當使用了「記錄媒 體」此一用語時,係會有僅包含記憶裝置260c之單體的情況,或是僅包含外部記憶裝置262之單體的情況,或者是包含有此雙方的情況。
(2)第1基板處理工程
接著,使用上述之基板處理裝置的處理爐,作為半導體裝置(半導體元件)之製造工程的其中一個工程,針對在基板上成膜身為絕緣膜之例如作為含矽膜的矽氧化膜(SiO)膜之程序例,參考圖7、8來作說明。另外,在以下之說明中,構成基板處理裝置之各部分的動作,係藉由控制器260而被控制。
另外,當在本說明書中而使用所謂「晶圓」之用語的情況時,係有著代表「晶圓本身」的情況,和代表「晶圓與被形成於其之表面上的特定之層或膜等之間的層積體(集合體)」的情況(亦即是,亦包含有被形成在表面上之特定之層或膜等而統稱為晶圓的情況)。又,當在本說明書中而使用所謂「晶圓之表面」之用語的情況時,係有著代表「晶圓本身之表面(露出面)」的情況,和代表「被形成於晶圓處的特定之層或膜等之表面、亦即是作為層積體之晶圓的最表面」的情況。
又,當在本說明書中而記載為「對於晶圓而供給特定之氣體」的情況時,係有著代表「對於晶圓本身之表面(露出面)而直接供給特定之氣體」的情況,和代表「對於被形成於晶圓處的層或膜等、亦即是對於作為層 積體之晶圓的最表面,而供給特定之氣體」的情況。又,在本說明書中,係有著代表「在被形成於晶圓處的層或膜等之上、亦即是在作為層積體之晶圓的最表面上,而形成特定之層(或者是膜)」的情況。
另外,當在本說明書中而使用「基板」之用語的情況時,係與使用「晶圓」之用語的情況相同,於此情況,只要將上述說明中之「晶圓」代換為「基板」即可。
以下,針對第1基板處理工程S200A作說明。
(基板搬入工程S201)
在進行第1基板處理工程S200A時,首先,將晶圓200搬入至處理室201中。具體而言,係藉由升降機構218而使基板支持部210下降,並設為使舉升銷207從貫通孔214而突出於基板支持部210之上面側的狀態。又,在將處理室201內調壓為特定之壓力之後,將閘閥1490開放,並從閘閥1490來將晶圓200載置於舉升銷207上。在將晶圓200載置於舉升銷207上之後,藉由以升降機構218來使基板支持部210一直上升至特定之位置處,晶圓200係成為從舉升銷207而被載置於基板支持部210上。
(減壓、升溫工程S202)
接著,以使處理室201內成為特定之壓力(真空度)的方式,來經由處理室排氣管224而將處理室201內排氣。此時,係基於壓力感測器所測定的壓力值,來對於作為壓力調整器222(222a)之APC閥的閥之開度作反饋控制。又,係基於溫度感測器(未圖示)所檢測出之溫度值,來以使處理室201內成為特定之溫度的方式,而對於對加熱器213之通電量進行反饋控制。具體而言,係藉由加熱器213而預先將基板支持部210加熱,並從成為不存在有晶圓200或基板支持部210之溫度變化起,而放置一定之時間。於此期間中,當存在有殘留於處理室201內之水分或者是從構件而來之脫氣等的情況時,係亦可藉由真空排氣或者是由N2氣體之供給所致的清洗來除去之。藉由此,成膜製程前之準備係成為結束。另外,在將處理室201內排氣為特定之壓力時,係亦可一度而一直真空排氣至可到達之真空度為止。
(第1成膜工程S301A)
接著,針對在晶圓200處成膜SiO膜之例作說明。使用圖7、8,對於第1成膜工程S301A之詳細內容作說明。
在晶圓200被載置於基板支持部210上並且處理室201內之氛圍安定之後,係進行圖7、8中所示之S203~S207之步驟。
(第1氣體供給工程S203)
在第1氣體供給工程S203中,係從第1氣體供給部而對於處理室201內供給作為第1氣體(原料氣體)之胺基矽烷系氣體。作為胺基矽烷系氣體,例如,係存在有雙二乙基胺基矽烷(H2Si(NEt2)2、Bis(Diethylamino)Silane:BDEAS)氣體。具體而言,係將氣體閥160開啟,並將胺基矽烷系氣體從氣體源來供給至腔100中。此時,係將處理室側閥116a開啟,並藉由MFC115a而調整為特定流量。被作了流量調整之胺基矽烷氣體,係通過第1緩衝空間232a而從噴淋頭234之分散孔234a來供給至減壓狀態之處理室201內。又,係繼續進行由排氣系所至之處理室201內之排氣,而以使處理室201內之壓力成為特定之壓力範圍(第1壓力)的方式來作控制。此時,成為對於晶圓200而供給胺基矽烷氣體之胺基矽烷氣體,係以特定之壓力(第1壓力:例如100Pa以上20000Pa以下)來供給至處理室201內。如此這般,而對於晶圓200供給胺基矽烷氣體。藉由被供給胺基矽烷氣體,在晶圓200上係被形成有含矽層。
(第1清洗工程S204)
在於晶圓200上形成了含矽層之後,將第1氣體供給管111a之氣體閥116a關閉,而停止胺基矽烷氣體之供給。藉由將原料氣體停止,來藉由將存在於處理室201中之原料氣體和存在於第1緩衝空間232a中之原料氣體從 處理室排氣管224排氣一事,而進行第1清洗工程S204。
又,在清洗工程中,除了單純地將氣體排氣(真空抽氣)並將氣體排出以外,係亦可構成為進行藉由供給惰性氣體並將殘留氣體推出一事所致的排出處理。又,係亦可將真空抽氣和惰性氣體之供給作組合並進行之。又,係亦可構成為將真空抽氣和惰性氣體之供給交互地進行。
另外,此時,係亦可將噴淋頭排氣管236之閥237開啟,並將存在於第1緩衝空間232a內的氣體從噴淋頭排氣管236而排氣。另外,在排氣中,係藉由閥227和閥237,來對於噴淋頭排氣管236和第1緩衝空間232a內之壓力(排氣導度)作控制。排氣導度,係亦能夠以使在第1緩衝空間232a中的從噴淋頭排氣管236而來之排氣導度會成為較經由處理室201的對於處理室排氣管224之排氣導度而更高的方式,來對於閥227和閥237作控制。藉由如此這般地來進行調整,係形成從身為第1緩衝空間232a之端部的第1氣體導入口241a起而朝向身為另外一方之端部的噴淋頭排氣口240a之氣體流。藉由設為此種構成,係能夠將附著在第1緩衝空間232a之壁上的氣體或者是在第1緩衝空間232a內而浮游的氣體以不會進入至處理室201中的方式來從噴淋頭排氣管236排氣。另外,係亦能夠以對於從處理室201所朝向第1緩衝空間232a內的氣體之逆流作抑制的方式,而對於第1緩 衝空間232a內之壓力和處理室201之壓力(排氣導度)作調整。
又,在第1清洗工程中,係繼續真空幫浦223之動作,並將存在於處理室201內之氣體從真空幫浦223而排氣。另外,係亦能夠以使從處理室201而朝向處理室排氣管224之排氣導度會成為較對於第1緩衝空間232a之排氣導度而更高的方式,來對於閥227和閥237作調整。藉由如此這般地作調整,係形成經由處理室201之朝向處理室排氣管224的氣體流,而能夠將殘留在處理室201內之氣體排氣。又,於此,藉由將閥136a開啟並對於MFC135a作調整而供給惰性氣體,係成為能夠將惰性氣體確實地供給至基板上,而能夠使基板上之殘留氣體的除去效率提昇。
在經過特定之時間後,將閥136a關閉,而停止惰性氣體之供給,並且將閥237關閉而將從第1緩衝空間232a所朝向噴淋頭排氣管236之流路遮斷。
更理想,係在經過特定時間後,使真空幫浦223持續動作,並將閥237關閉。若是設為此種構成,則由於經過了處理室201之朝向處理室排氣管224的流動係不會受到噴淋頭排氣管236之影響,因此,係成為能夠更確實地將惰性氣體供給至基板上,而能夠使基板上之殘留氣體的除去效率更加提昇。
另外,從處理室而將氛圍作清洗一事,除了代表單純地進行真空抽氣並將氣體排出以外,係亦代表由 惰性氣體之供給所致之氣體之推出動作。故而,在第1清洗工程中,係亦可構成為對於第1緩衝空間232a內供給惰性氣體並進行由將殘留氣體推出一事所致的排出動作。又,係亦可將真空抽氣和惰性氣體之供給作組合並進行之。又,係亦可構成為將真空抽氣和惰性氣體之供給交互地進行。
又,此時之供給至處理室201內之N2氣體的流量亦並不需要設為大流量,例如係亦可供給與處理室201之容積同等程度之量。藉由如此這般地進行清洗,係能夠降低對於後續的工程之影響。又,藉由並不將處理室201完全作清洗,係能夠縮短清洗時間,而能夠將製造產率提升。又,亦成為能夠將N2氣體之消耗抑制在必要之最小限度。
此時之加熱器213的溫度,係與對於晶圓200之原料氣體供給時相同的,設定為會成為例如200~750℃、較理想為300~600℃、更理想為300~550℃之範圍內的一定之溫度。從各惰性氣體供給系所供給的作為清洗氣體之N2氣體的供給流量,例如係分別設為100~20000sccm之範圍內的流量。作為清洗氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
(第2處理氣體供給工程S205)
在第1氣體清洗工程之後,開啟閥126,並經由氣體導入孔241b、第2緩衝空間232b、複數之分散孔234b, 而對於處理室201內供給作為第2氣體(反應氣體)之含氧氣體。含氧氣體,例如係存在有氧氣(O2)或臭氧氣體(O3)、水(H2O)、一氧化二氮氣體(N2O)等。於此,係對於使用O2氣體之例作展示。由於係經由第2緩衝空間232b、分散孔234b來供給至處理室201中,因此係能夠對於基板上而均勻地供給氣體。故而,係能夠將膜厚設為均一。另外,在供給第2氣體時,係亦可構成為能夠經由作為活性化部(激勵部)之遠端電漿單元(RPU)124來將作了活性化的第2氣體供給至處理室201內。
此時,係以使O2氣體之流量成為特定之流量的方式,來對於質量流控制器125作調整。另外,O2氣體之供給量,例如係為100sccm以上10000sccm以下。又,係藉由將壓力調整器238作適當的調整,來將第2緩衝空間232b內之壓力設為特定之壓力範圍內。又,當O2氣體在RPU124流動時,係將RPU124設為ON狀態(投入有電源之狀態),並以將O2氣體活性化(激勵)的方式來作控制。
O2氣體若是被供給至形成於晶圓200上之含矽層處,則含矽層係被改質。例如,係形成矽元素或含矽元素之改質層。另外,藉由設置RPU124並將作了活性化的O2氣體供給至晶圓200上,係能夠形成更多的改質層。
改質層,例如,係因應於處理室201內之壓力、O2氣體之流量、晶圓200之溫度、RPU124之電力供 給程度,而以特定之厚度、特定之分布、相對於含矽層之特定之氧成分等的侵入深度來形成。
在經過特定時間之後,將閥126關閉,並停止O2氣體之供給。
(第2清洗工程S206)
藉由將O2氣體之供給停止,來藉由將存在於處理室201中之O2氣體和存在於第2緩衝空間232a中之O2氣體從第1排氣部排氣一事,而進行第2清洗工程S206。第2清洗工程S206,係進行與上述第1清洗工程S204同樣的工程。
在第2清洗工程S206中,係繼續真空幫浦223之動作,並將存在於處理室201內之氣體從處理室排氣管224而排氣。另外,係亦能夠以使從處理室201而朝向處理室排氣管224之排氣導度會成為較對於第2緩衝空間232b之排氣導度而更高的方式,來對於閥227和閥237作調整。藉由如此這般地作調整,係形成經由處理室201之朝向處理室排氣管224的氣體流,而能夠將殘留在處理室201內之氣體排氣。又,於此,藉由將氣體閥136b開啟並對於MFC135b作調整而供給惰性氣體,係成為能夠將惰性氣體確實地供給至基板上,基板上之殘留氣體的除去效率係提昇。
在經過特定之時間後,將閥136b關閉,而停止惰性氣體之供給,並且將閥237b關閉而將第2緩衝空 間232b與噴淋頭排氣管236之間遮斷。
更理想,係在經過特定時間後,使真空幫浦223持續動作,並將閥237b關閉。若是設為此種構成,則由於經過了處理室201之朝向噴淋頭排氣管236的流動係不會受到處理室排氣管224之影響,因此,係成為能夠更確實地將惰性氣體供給至基板上,而能夠使基板上之殘留氣體的除去效率更加提昇。
另外,從處理室而將氛圍作清洗一事,除了代表單純地進行真空抽氣並將氣體排出以外,係亦代表由惰性氣體之供給所致之氣體之推出動作。故而,在清洗工程中,係亦可構成為對於第2緩衝空間232b內供給惰性氣體並進行由將殘留氣體推出一事所致的排出動作。又,係亦可將真空抽氣和惰性氣體之供給作組合並進行之。又,係亦可構成為將真空抽氣和惰性氣體之供給交互地進行。
又,此時之供給至處理室201內之N2氣體的流量亦並不需要設為大流量,例如係亦可供給與處理室201之容積同等程度之量。藉由如此這般地進行清洗,係能夠降低對於後續的工程之影響。又,藉由並不將處理室201完全作清洗,係能夠縮短清洗時間,而能夠將製造產率提升。又,亦成為能夠將N2氣體之消耗抑制在必要之最小限度。
此時之加熱器213的溫度,係與對於晶圓200之原料氣體供給時相同的,設定為會成為例如200~750 ℃、較理想為300~600℃、更理想為300~550℃之範圍內的一定之溫度。從各惰性氣體供給系所供給的作為清洗氣體之N2氣體的供給流量,例如係分別設為100~20000sccm之範圍內的流量。作為清洗氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
(判定工程S207)
在第1清洗工程S206結束後,控制器260,係判定在上述之第1成膜工程S301A中,S203~S206是否被實行了特定之循環數c(c為自然數)。亦即是,係判定在晶圓200上是否被形成了所期望之厚度之膜。將上述之步驟S203~S206作為1個循環,藉由將此循環至少進行一次以上(步驟S207),係能夠在晶圓200上形成特定膜厚之包含矽以及氧之絕緣膜,亦即是係能夠成膜SiO膜。另外,上述之循環,係以反覆進行複數次為理想。藉由此,在晶圓200上係被特定膜厚之SiO膜。
當並未被實施特定次數時(No判定時),係反覆進行S203~S206之循環。當被實施了特定次數時(Y判定時),係結束成膜工程S301,並實行搬送壓力調整工程S208和基板搬出工程S209。
另外,在上述之第1氣體供給工程S203和第2氣體供給工程S205中,若是構成為於供給第1氣體時係對於身為第2分散部之第2緩衝空間232b供給惰性氣體,並且於供給第2氣體時係對於身為第1分散部之第1 緩衝空間232a供給惰性氣體,則係能夠防止各個的氣體逆流至相異之緩衝空間中的情形。
(搬送壓力調整工程S208)
在搬送壓力調整工程S208中,以使處理室201內和搬送空間203成為特定之壓力(真空度)的方式,來經由處理室排氣管224而將處理室201內和搬送空間203內排氣。此時之處理室201內和搬送空間203內之壓力,係被調整為真空搬送室1400內之壓力以上。另外,在此搬送壓力調整工程S208之間或者是其之前後,係亦可構成為以使晶圓200之溫度會一直被冷卻至特定之溫度的方式來藉由舉升銷207作保持。
(基板搬出工程S209)
當在搬送壓力調整工程S208中而處理室201內成為了特定壓力之後,開啟閘閥1490,並將晶圓200從搬送空間203來搬出至真空搬送室1400處。
藉由此種工程,晶圓200之處理係被進行。
另外,係要求就算是當對於如同圖1中所示一般之具備有偶數台的腔100之處理裝置中而搬送有奇數枚之晶圓群的情況時,也能夠使生產性提昇。作為使生產性提昇的手法,例如,係存在有使每單位時間之晶圓200的處理枚數(處理產率)增加、使製程性能維持、使維修時間縮短、使維修頻率降低等。例如,當藉由圖1中所示 之處理裝置來對晶圓200為25枚之Lot進行處理的情況時,於對最後一枚進行處理的製程模組中,在其中一方之腔係成為進行第1基板處理工程S200A(第1成膜工程S301A),在另外一方之腔係成為進行第2基板處理工程S200B(第2成膜工程S301B)。本發明者,在將此種Lot進行了複數次的情況時,係發現到會發生以下之(A)、(B)的課題。於此,所謂奇數枚之晶圓群,係由被儲存有奇數枚的晶圓200之盒1001之單體或者是複數個的盒1001所構成。
另外,以下之(A)、(B)等的課題,當進行由晶圓枚數為25枚~21枚或13枚~9枚程度之晶圓群所構成的Lot之生產的情況時,係會變得顯著,但是,在由20枚~14枚的情況時或者是由25枚以上之晶圓群所構成之Lot的情況時,也會有發生相同之課題的情形。
(課題A)
如同圖11中所示一般,在以25枚來對於1Lot進行複數次處理的情況時,會有特定之腔的使用次數變得偏多的情形。於此,針對將25枚的處理進行了9次之例作展示。如此這般,在PM1之腔1的處理次數與其他之PM的處理次數之間,最大會產生9次的差距。於此情況,在腔1和其他之腔(2~8)之間,係會有粒子之發生機率和維修時機有所改變的情形。特別是,腔1和腔2,由於係同樣被設置於PM1處,並對於氣體供給部作共用,因此, 當維修時機有所相異的情況時,生產性的降低係會變得顯著。
(課題B)
在半導體裝置中,層積構造係成為主流,而將1個的處理進行有複數次。於將1個的處理進行複數次的情況時,係會有在相同之腔中而對於相同之晶圓200進行處理的情形。當在各腔之每一者之間而存在有特徵(機差)的情況時,會發生由特定之晶圓所形成的半導體裝置之特性和由其他晶圓所形成的半導體裝置之特性會有所相異的課題。例如,係會有在腔ch1和ch3處而膜特性有所相異的情形。於此,所謂膜特性,係存在有膜厚、膜質、結晶性、介電率、折射率、膜密度、蝕刻速率等。
作為將一個處理進行複數次之處理,例如,係存在有層間絕緣膜之形成工程。層間絕緣膜之形成工程,係進行數次~數十次。此時,係會有相同之處理裝置被使用複數次的情況。例如,在圖11中,係會有No.1和No.5為相同晶圓的情形。如此這般,藉由相同的處理裝置來對於相同的基板進行處理一事,特別是在此種少枚數之Lot生產的次數為少的情況時會變多。在從第1次的處理起直到下一次處理為止的期間中,係會有並不進行其他之Lot之生產而進行與前一次相同的Lot之處理的情形。
本案發明者,係針對此種課題,而發現到:藉由如同以下之(a)、(b)一般地來搬送基板,係能夠 解決上述之課題。亦即是,就算是在對於奇數枚之晶圓群進行處理的情況時,亦能夠使生產性提昇。又,係發現到能夠使各晶圓200之每一者的處理均一性提昇。
又,本案發明者們,係更進一步進行努力研究,其結果,在(a)、(b)之搬送序列中,係發現到了下述的課題C。
(課題C)
第1枚~第24枚之晶圓200的處理,係會在特定之相同的腔中而被進行,並於在特定之晶圓200處所形成的膜特性中產生有偏差。
另外,課題A、課題B、課題C,當無法將被儲存在儲存容器中之晶圓200的數量藉由處理單元之數量或腔之數量來作整除時,係容易發生。
作為對於此課題C作解決的搬送序列,係發現了以下之(c)的搬送序列。
(a)
係可藉由從將對於前一個Lot的最後之晶圓作了處理的處理單元之下一個處理單元起來開始搬送,而作解決。例如,當將每一Lot之晶圓200為25枚的處理進行複數次時,係藉由控制部260來記錄被搬送有第X個(X為自然數)的Lot之最後的晶圓200之處理單元m號,並在第X+1個的Lot中,以從第m+1號之處理單元來開始搬送的 方式,而對於作為第1搬送機器人之大氣搬送機器人1220和作為第2搬送機器人之真空搬送機器人1700作控制。以圖12為例來作說明。在對於Lot.1之最後的晶圓No.25作了處理的處理單元之編號m處記錄1,Lot.2之晶圓No.1,係從成為m+1之處理單元2起而開始搬送。又,在對於Lot.2之最後的晶圓No.25作了處理的處理單元之編號m處記錄2,Lot.3之晶圓No.1,係從成為m+1之處理單元3起而開始搬送。亦即是,就算是當在對於Lot中的最後之晶圓200作了處理的處理單元處而產生有剩餘的腔,在下一個Lot中,亦係跳過剩餘的腔而從下一個處理單元起來開始搬送。藉由設為此種構成,相較於圖11之搬送序列,係能夠將各腔的使用次數之差作各腔的總使用計數之最大值與最小值之差的減少,而能夠使偏差減少。亦即是,係能夠將各腔之使用次數平準化。
又,就算是每一Lot之枚數係為13枚,亦同樣的能夠使各腔之使用次數之差減少。在圖13中,針對每Lot之枚數為13枚的情況作展示。
(b)
除了(a)的搬送序列以外,進而藉由控制部260來記錄被搬送有第X個的Lot之最後的晶圓之腔n號,並以將第X+1個的Lot之最後的晶圓200搬送至第n+1號中的方式,來對於大氣搬送機器人1220和真空搬送機器人1700作控制。以圖14為例來作說明。在對於Lot.1之最 後的晶圓No.25作了處理的處理單元之編號m處記錄1,又,在對於晶圓No.25作了處理的腔n處記錄1。接著,Lot.2之晶圓No.1,係從成為m+1之處理單元2起而開始搬送,晶圓No.25,係被搬送至成為n+1之腔2處。藉由如此這般地來作搬送,相較於(a)之搬送時,係能夠使各腔的總使用計數之最大值與最小值減少,而能夠使偏差減少。又,係能夠對於使用晶圓No.25之腔的偏差作抑制。
圖15,係針對每Lot之枚數為13枚的情況作展示。就算是在如同圖15中所示一般之13枚的情況時,亦能夠使各腔之使用次數之差減少。
(c)
除了上述之(a)和(b)之搬送序列之其中一者或者是雙方以外,更進而以使對於被設置在裝載鎖定室1300處之載置面1311a和載置面1311b的搬送順序交互交換的方式,來對於作為第1搬送機器人之大氣搬送機器人1220作控制,藉由此,係能夠解決上述之課題。
例如,係如同圖16中所示一般地而構成搬送序列。在圖16中,係以將第1枚的晶圓200搬送至載置面1311a處,並將第2枚的晶圓200搬送至載置面1311b處,且將第3枚的晶圓200搬送至載置面1311b處,並且將第4枚的晶圓200搬送至載置面1311a處的方式,而構成之。又,第5枚的晶圓200係載置在載置面1311a處, 第6枚的晶圓200係載置在載置面1311b處。如此這般地,將晶圓No.1搬送至腔1處,將晶圓No.2搬送至腔2處,將晶圓No.3搬送至腔4處,將晶圓No.4搬送至腔3處,將晶圓No.5搬送至腔5處,將晶圓No.6搬送至腔6處。換言之,係構成為以「每處理單元內之腔的數量」而將對於載置面之載置作交換的方式來作搬送。又,更換言之,係如同下述一般地,來對於作為第1搬送機器人之大氣搬送機器人1220作控制:亦即是,在第奇數(2X-1)個的Lot中,係將第4Y-1枚(Y為自然數)(例如,第3、7、11、15、19、23枚)從載置面1311b來作搬送,在第偶數(2X)個的Lot中,係將第4Y-3枚(例如,第1、5、9、13、17、21、25枚)之基板從載置面1311b來作搬送。藉由如此這般地對於搬送作控制,就算是將1Lot25枚之Lot進行了複數次,也能夠將各腔之使用次數的差降低,並且在第1~25枚之晶圓200的全部而對於將各腔之使用作持續使用的情形作抑制。
又,如同圖17中所示一般,就算是當每Lot之枚數為13枚的情況時,亦能夠使各腔之使用次數之差減少。
另外,亦可構成為在第2X個的Lot中,將第4Y-1枚之基板從載置面1311b來作搬送,在第2X-1個的Lot中,將第4Y-3枚之基板從載置面1311b來作搬送。
以下,針對於上述之製程模組中的並未被搬送有晶圓200之腔處所進行的第2基板處理工程S200B作 說明。在以下之例中,針對在圖4中所示之腔100a處係被搬送有基板,在腔100a處係進行第1基板處理工程S00A,而在腔100b處係並未被搬送有基板,在腔100b係被進行第2基板處理工程S200B的情況作說明。藉由在並未被搬送有晶圓200之腔處進行第2基板處理工程S200B,係能夠將圖4中所示之製程模組的腔100a和腔100b之排氣導度設為一定,而能夠使每一晶圓200之處理均一性提昇。
第2基板處理工程S200B,係如同圖9、10中所示一般,以在相當於第1基板處理工程S200A中之第1成膜工程S301A之第1處理氣體供給工程S203的工程中,進行第3清洗工程S403,並在相當於第1成膜工程S301A之第2處理氣體供給工程S205之工程中,進行第4清洗工程S405的方式,而構成之。以下,針對第3清洗工程S403和第4清洗工程S405作說明。
(第3清洗工程S403)
在第3清洗工程S403中,係於在腔100a處而被進行有第1處理氣體供給工程S203的期間中,從第4氣體供給部而經由第1緩衝空間232a來對於處理室201內供給惰性氣體。具體而言,係於在載置面211處並未被載置有晶圓200的狀態下,將閥146b開啟,並將藉由MFC145b而作了流量調整的惰性氣體,經由第1氣體供給管111b來供給至腔100b處。此惰性氣體之流量,係設定為會使 從被進行有第2成膜工程S301B之腔100b所朝向處理室排氣管224b之排氣導度成為與從被進行有第1成膜工程S301A之腔100a所朝向處理室排氣管224a之排氣導度相同的流量。例如,係設定為與被供給至腔100a處之第1處理氣體的流量相同之流量。另外,當第1處理氣體之分子量與惰性氣體之分子量為相異的情況時,係並非絕對需要設為相同,只要設定為會使排氣導度成為同等一般之流量即可。另外,於此,雖係構成為使用第4氣體供給部來供給惰性氣體,但是,係亦可構成為從第3氣體供給部來作供給。藉由構成為從第3氣體供給部來作供給,係能夠減少配管數量。另一方面,當發生有需要在第1清洗工程、第2清洗工程、第3清洗工程、第4清洗工程之各者中而對於流量作切換之必要的情況時,係會有變得來不及進行切換的可能性。就算是在此種情況,亦同樣的,藉由設置第4氣體供給部,係能夠去除MFC135之流量切換的等待時間。另外,藉由將從第4氣體供給部所對於處理室201之惰性氣體供給以與第1處理氣體之供給流路相同的流路來構成,係成為容易保持腔100a之排氣導度和腔100b之排氣導度之間的平衡。另外,當導度之差異為落於容許範圍內時,係亦可使用相異之流路。
在第3清洗工程S403中,係亦可構成為在對於各腔之處理室201進行清洗之前或之後或者是雙方處,而對於第1緩衝空間232a進行清洗。在此第1緩衝空間232a之清洗中,係構成為會使被供給至腔100b處之清洗 氣體的總量,成為與被供給至腔100a處之清洗氣體的總量相同。藉由如此這般地來構成,在第1緩衝空間232a之清洗工程中,亦成為能夠保持腔100a和腔100b之排氣平衡。另外,於此,對於第1緩衝空間232a之清洗氣體的供給,係可從第3氣體供給部來經由第1氣體供給管111a而進行,亦可從第4氣體供給部來經由第1氣體供給管111a而進行。
(第4清洗工程S405)
在第4清洗工程S405中,係於在腔100a處而被進行有第2處理氣體供給工程S205的期間中,從第4氣體供給部而經由第2緩衝空間232b來對於處理室201內供給惰性氣體。具體而言,係將閥156b開啟,並將藉由MFC155b而作了流量調整的惰性氣體,經由第2氣體供給管121b來供給至腔100b處。另外,於此,雖係構成為使用第4氣體供給部來供給惰性氣體,但是,係亦可構成為從第3氣體供給部來作供給。又,在第4清洗工程S405中之惰性氣體之流量,係設定為與被供給至腔100a處之第2處理氣體的流量相同之流量。另外,當第2處理氣體之分子量與惰性氣體之分子量為相異的情況時,係並非絕對需要設為相同,只要調整為會使排氣導度成為同等一般之流量即可。另外,藉由將從第4氣體供給部所對於處理室201之惰性氣體供給以與第2處理氣體之供給流路相同的流路來構成,係成為容易保持腔100a之排氣導度 和腔100b之排氣導度之間的平衡。另外,當導度之差異為落於容許範圍內時,係亦可使用相異之流路。
另外,在第4清洗工程S405中,係亦可構成為在對於各腔之處理室201進行清洗之前或之後或者是雙方處,而對於第2緩衝空間232b進行清洗。在此第2緩衝空間232b之清洗中,係構成為會使被供給至腔100b處之清洗氣體的總量,成為與被供給至腔100a處之清洗氣體的總量相同。藉由如此這般地來構成,在第2緩衝空間232b之清洗工程中,亦成為能夠保持腔100a和腔100b之排氣平衡。另外,於此,對於第1緩衝空間232a之清洗氣體的供給,係可從第3氣體供給部來經由第1氣體供給管111a而進行,亦可從第4氣體供給部來經由第1氣體供給管111a而進行。
又,於正在進行第4清洗工程S405的期間中,於腔100a處係被進行有第1成膜工程之第2處理氣體供給工程S205。當在第2處理氣體供給工程S205中而使第2處理氣體活性化的情況時,相較於在2個的腔(腔100a和腔100b)中而進行第2處理氣體供給工程S205的情況,於僅對於腔100a供給被作了活性化的第2處理氣體的情況時,係會有對於腔100a而供給活性度為更高之第2處理氣體的情況。於此種情況中,於第4清洗工程S405之期間中,係亦可構成為從排氣管線171b來將被作了活性化的第2處理氣體排氣。此被作了活性化的第2處理氣體之排氣量,係設定為與在第2處理氣體供給工程 S205中而被供給至腔100b處的量相當之量。另外,於此,雖係針對將排氣管線171b設置在MFC125b之上游側的例子來作了展示,但是,係亦可設置在MFC125b之下游側。藉由設置在下游側,係能夠進行更為精密之流量調整。
又,於上述內容中,雖係針對將原料氣體和反應氣體交互作供給並進行成膜的方法而作了記述,但是,只要原料氣體與反應氣體之氣相反應量或副生成物之產生量為容許範圍內,則亦可使用其他的方法。例如,係存在有使原料氣體和反應氣體之供給時序相重疊一般的方法。
又,於上述內容中,雖係針對將2個的腔作為一組之製程模組來作了說明,但是,係亦可為將3個以上的腔作為一組之製程模組。於3個以上的情況時,在將基板搬送至1個的腔,而並不對於1個的腔以外之至少1個的其他的腔而搬送基板的情況時,藉由對於1個腔供給處理氣體且對於其他腔供給惰性氣體,係可得到上述之效果等。
又,於上述內容中,雖係針對對於基板而一次一枚地進行處理之單片式裝置來作了記述,但是,係並不限定於此,係亦可為在處理室中而將基板在垂直方向或水平方向上作複數枚並排的批次式裝置。
又,在上述內容中,雖係針對成膜處理而作了記述,但是,係亦可使用其他之處理。例如,係存在有 擴散處理、氧化處理、氮化處理、氮氧化處理、還原處理、氧化還原處理、蝕刻處理、加熱處理等。例如,當僅使用反應氣體而對於基板表面或被形成於基板上之膜進行電漿氧化處理或者是電漿氮化處理時,係亦可適用本發明。又,係亦可適用在僅使用有反應氣體之電漿退火處理中。
又,在上述內容中,雖係針對半導體裝置之製造工程而作了記述,但是,實施形態之發明,係亦可適用於半導體裝置之製造工程以外之製造工程。例如,係存在有液晶元件之製造工程、太陽電池之製造工程、發光元件之製造工程、玻璃基板之處理工程、陶瓷基板之處理工程、導電性基板之處理工程等的基板處理。
又,在上述內容中,雖係針對作為原料氣體而使用含矽氣體並作為反應氣體而使用含氧氣體來形成矽氧化膜之例而作了展示,但是,係亦可適用在使用有其他之氣體的成膜中。例如,係存在有含氧膜、含氮膜、含碳膜、含硼膜、含金屬膜以及將此些之元素作了複數的含有之膜等。另外,作為此些之膜,例如,係存在有SiN膜、A1O膜、ZrO膜、HfO膜、HfA1O膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。藉由對於用以成膜此些之膜而使用的原料氣體和反應氣體之個別的氣體特性(吸附性、脫離性、蒸氣壓等)作比較,並對於供給位置和噴淋頭234內之構造適宜作變更,係能夠得到相同的效果。
(本發明之理想形態)
以下,針對本發明之理想形態作附加記載。
〈付記1〉
若依據其中一種形態,則係提供一種基板處理裝置或者是半導體裝置之製造裝置,其特徵為,係具備有:複數之腔;和被作複數設置之處理單元;和可將被儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和在前述裝載埠和裝載鎖定室之間而搬送前述基板之第1搬送機器人;和在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,並具備有:控制部,係以將被收容於第X個(X為自然數)的前述儲存容器中之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中之不存在有基板的狀態之複數之前述腔之中之1個的腔中,並將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中的方式,來對於前述第1搬送機器人和前述第2搬送機器人作控制。
〈付記2〉
如付記1所記載之裝置,其中,較理想,係構成為:前述控制部,係對被收容在前述第X個的前述儲存容器中之最後的基板之被搬送的第n個(n為自然數)的腔作記 錄,並以將被收容在前述第X+1個的儲存容器中之複數之基板之中之最後的基板搬送至第n+1個的腔中的方式,來對於前述第2搬送機器人作控制。
〈付記3〉
如付記1或2所記載之裝置,其中,較理想,係構成為:在前述裝載鎖定室處,係被設置有複數之載置面,前述控制部,係以使載置被收容在第奇數個的儲存容器中之最後的基板之前述載置面、和載置被收容在第偶數個的儲存容器中之最後的基板之前述載置面,此兩者會互為相異的方式,來對於前述第1搬送機器人作控制。
〈付記4〉
如付記1~3中之任一者所記載之裝置,其中,較理想,係構成為:在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,前述控制部,係以將第奇數(2X-1)個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面、並將第偶數個(2X)的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處的方式,來對於前述第1搬送機器人作控制。
〈付記5〉
如付記1~4之任一者所記載之裝置,其中,較理想,前述腔係被設置有偶數台。
〈付記6〉
如付記3~5之任一者所記載之裝置,其中,較理想,前述第2搬送機器人,係具備被設置有2個的將前述基板作保持之端接器之臂。
〈付記7〉
如付記6所記載之裝置,其中,較理想,係構成為:前述控制部,係將前述裝載鎖定室內之前述基板藉由前述第2搬送機器人來作保持,並搬送至前述處理單元處。
〈付記8〉
若依據另外一種形態,則係提供一種基板處理方法或者是半導體裝置之製造方法,其特徵為,裝置,係具備有:複數之腔;和被作複數設置之處理單元;和可將被儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和在前述裝載埠和裝載鎖定室之間而搬送前述基板之第1搬送機器人;和在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,該方法,係具備有:將被收容於第X個(X為自然數)的前述儲存容器中之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中之不存在有基板的狀態之複數之前述腔之中之1個的腔中之工程;和將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單 元之中之複數之前述腔之任一者中之工程。
〈付記9〉
如付記8所記載之方法,其中,較理想,當被收容在前述儲存容器中之前述基板係為奇數枚時,係具備有:將前述第X個的前述儲存容器中所收容之最後的基板之被搬送的第n個(n為自然數)的腔作記錄之工程;和將被收容在前述第X+1個的儲存容器中之複數之基板之中之最後的基板搬送至第n+1個的腔中之工程。
〈付記10〉
如付記8或9所記載之方法,其中,較理想,在前述裝載鎖定室處,係被設置有複數之載置面,該方法,係具備有:使載置被收容在第奇數(2X-1)個的儲存容器中之最後的基板之前述載置面、和載置被收容在第偶數(2X)個的儲存容器中之最後的基板之前述載置面,此兩者互為相異之工程。
〈付記11〉
如付記8~10中之任一者所記載之方法,其中,較理想,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,前述控制部,係具備有將第奇數(2X-1)個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面之工程、和將第偶數個(2X)的前述儲存容器中之第4Y- 3個的基板搬送至前述第2載置面處之工程。
〈付記12〉
如付記8~11中之任一者所記載之方法,其中,較理想,係進行對於前述處理單元中之被搬送有前述基板的腔而供給處理氣體之工程、和與前述供給處理氣體之工程並行地而對於前述處理單元中之並未被搬送有前述基板的腔供給惰性氣體之工程。
〈付記13〉
如付記12所記載之方法,其中,較理想,係具備有將進行供給前述處理氣體之工程的腔之排氣導度和進行供給前述惰性氣體之工程的腔之排氣導度設為相同之工程。
〈付記14〉
若依據又另外一種形態,則係提供一種程式或者是記錄有該程式之記錄媒體,其特徵為,裝置,係具備有:複數之腔;和被作複數設置之處理單元;和可將被儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和在前述裝載埠和裝載鎖定室之間而搬送前述基板之第1搬送機器人;和在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,該程式,係使電腦實行下述程序:將被收容於第X個(X為自然數)的前述儲存容器中之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中 之不存在有基板的狀態之複數之前述腔之中之1個的腔中之程序;和將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中之程序。
〈付記15〉
若依據又另外一種形態,則係提供一種基板處理裝置或者是半導體裝置之製造裝置,其特徵為,係具備有:對於基板進行處理之腔;和具備有複數之前述腔之處理單元;和被連接有複數之前述處理單元之真空搬送室;和被與前述真空搬送室作連接之裝載鎖定室;和可將儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和被設置在前述裝載鎖定室和前述裝載埠之間,並具備有第1搬送機器人之大氣搬送室;和被設置於前述真空搬送室處,並在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,並具備有:控制部,係當將被收容於前述儲存容器中之複數之基板依序分配至前述複數之腔中並進行處理的情況時,以將被收容於第X個(X為自然數)的前述儲存容器中之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中之不存在有基板的狀態之複數之前述腔之中之1個的腔中,並將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中的方式,來對於前述第1搬送機器人和前述第2搬送機器人作 控制。
〈付記16〉
若依據又另外一種形態,則係提供一種半導體裝置之製造方法,其特徵為,裝置,係具備有:對於基板進行處理之腔;和具備有複數之前述腔之處理單元;和被連接有複數之前述處理單元之真空搬送室;和被與前述真空搬送室作連接之裝載鎖定室;和可將儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和被設置在前述裝載鎖定室和前述裝載埠之間,並具備有第1搬送機器人之大氣搬送室;和被設置於前述真空搬送室處,並在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,該半導體裝置之製造方法,當將被收容於前述儲存容器中之複數之基板依序分配至前述複數之腔中並進行處理的情況時,係具備有:將從被收容於第X個(X為自然數)的前述儲存容器中之最後的基板而搬送至前述腔中的處理單元之編號m(m為自然數)作記錄之工程;和將被收容在第X+1個的前述儲存容器中之前述基板,從第m+1個的處理單元來開始搬送之工程。
100a~100h‧‧‧腔
110a~110d‧‧‧製程模組
200‧‧‧晶圓(基板)
1000‧‧‧基板處理系統
1001‧‧‧盒
1100‧‧‧IO平台
1120‧‧‧帽
1200‧‧‧大氣搬送室
1210‧‧‧開盒器
1220‧‧‧第1搬送機器人(大氣搬送機器人)
1260‧‧‧預對位器
1270‧‧‧框體
1280、1290‧‧‧基板搬入搬出口
1300‧‧‧裝載鎖定室
1310‧‧‧框體
1311a‧‧‧第1載置面
1311b‧‧‧第2載置面
1320‧‧‧基板載置台
1350‧‧‧閘閥
1400‧‧‧真空搬送室
1410‧‧‧框體
1490a~1490h‧‧‧閘閥
1700‧‧‧第2搬送機器人(真空搬送機器人)
1800、1900‧‧‧臂

Claims (13)

  1. 一種基板處理裝置,其特徵為,係具備有:對於基板進行處理之腔;和具備有複數之前述腔之處理單元;和被連接有複數之前述處理單元之真空搬送室;和被與前述真空搬送室作連接之裝載鎖定室;和可將儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和被設置在前述裝載鎖定室和前述裝載埠之間,並具備有第1搬送機器人之大氣搬送室;和被設置於前述真空搬送室處,並在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,並具備有:控制部,係對於前述第1搬送機器人和前述第2搬送機器人作控制,而使其進行將被收容於第X個(X為自然數)的前述儲存容器中之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中之不存在有基板的狀態之複數之前述腔之中之1個的腔中之工程、和將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中之工程、和對被收容在前述第X個的前述儲存容器中之最後的基板之被搬送的第n個(n為自然數)的腔作記錄之工程、以及將被收容在前述第X+1個的儲存容器中之複數之基板之中之最後的基板搬送至第n+1個的 腔中之工程。
  2. 如申請專利範圍第1項所記載之基板處理裝置,其中,在前述裝載鎖定室處,係被設置有複數之載置面,前述控制部,係以使載置被收容在第奇數個的儲存容器中之最後的基板之前述載置面、和載置被收容在第偶數個的儲存容器中之最後的基板之前述載置面,此兩者會互為相異的方式,來對於前述第1搬送機器人作控制。
  3. 如申請專利範圍第1項所記載之基板處理裝置,其中,在前述裝載鎖定室處,係被設置有複數之載置面,前述控制部,係以使載置被收容在第奇數個的儲存容器中之最後的基板之前述載置面、和載置被收容在第偶數個的儲存容器中之最後的基板之前述載置面,此兩者會互為相異的方式,來對於前述第1搬送機器人作控制。
  4. 如申請專利範圍第1項所記載之基板處理裝置,其中,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,前述控制部,係以將第奇數個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面(Y為自然數)、並將第偶數個的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處的方式,來對於前述第1搬送機器人作控制。
  5. 如申請專利範圍第1項所記載之基板處理裝置,其中,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,前述控制部,係以將第奇數個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面(Y為自然 數)、並將第偶數個的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處的方式,來對於前述第1搬送機器人作控制。
  6. 如申請專利範圍第2項所記載之基板處理裝置,其中,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,前述控制部,係以將第奇數個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面(Y為自然數)、並將第偶數個的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處的方式,來對於前述第1搬送機器人作控制。
  7. 一種半導體裝置之製造方法,其特徵為,該半導體裝置,係具備有:對於基板進行處理之腔;和具備有複數之前述腔之處理單元;和被連接有複數之前述處理單元之真空搬送室;和被與前述真空搬送室作連接之裝載鎖定室;和可將儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和被設置在前述裝載鎖定室和前述裝載埠之間,並具備有第1搬送機器人之大氣搬送室;和被設置於前述真空搬送室處,並在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人,該半導體裝置之製造方法,係具備有:將被收容於第X個(X為自然數)的前述儲存容器中 之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中之不存在有基板的狀態之複數之前述腔之中之1個的腔中之工程;和將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中之工程;和對被收容在前述第X個的前述儲存容器中之最後的基板之被搬送的第n個(n為自然數)的腔作記錄之工程;和將被收容在前述第X+1個的儲存容器中之複數之基板之中之最後的基板搬送至第n+1個的腔中之工程。
  8. 如申請專利範圍第7項所記載之半導體裝置之製造方法,其中,在前述裝載鎖定室處,係被設置有複數之載置面,該半導體裝置之製造方法,係具備有:使載置被收容在第奇數個的儲存容器中之最後的基板之前述載置面、和載置被收容在第偶數個的儲存容器中之最後的基板之前述載置面,此兩者互為相異之工程。
  9. 如申請專利範圍第7項所記載之半導體裝置之製造方法,其中,在前述裝載鎖定室處,係被設置有複數之載置面,該半導體裝置之製造方法,係具備有:使載置被收容在第奇數個的儲存容器中之最後的基板之前述載置面、和載置被收容在第偶數個的儲存容器中之 最後的基板之前述載置面,此兩者互為相異之工程。
  10. 如申請專利範圍第7項所記載之半導體裝置之製造方法,其中,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,該半導體裝置之製造方法,係具備有:將第奇數個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面處之工程;和將第偶數個的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處之工程。
  11. 如申請專利範圍第7項所記載之半導體裝置之製造方法,其中,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,該半導體裝置之製造方法,係具備有:將第奇數個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面處之工程;和將第偶數個的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處之工程。
  12. 如申請專利範圍第8項所記載之半導體裝置之製造方法,其中,在前述裝載鎖定室處,係被設置有第1載置面和第2載置面,該半導體裝置之製造方法,係具備有: 將第奇數個的前述儲存容器中之第4Y-1個的基板搬送至前述第1載置面處之工程;和將第偶數個的前述儲存容器中之第4Y-3個的基板搬送至前述第2載置面處之工程。
  13. 一種記錄媒體,其特徵為:係記錄有程式,該程式,係使電腦實行下述程序:在具備有對於基板進行處理之腔;和具備有複數之前述腔之處理單元;和被連接有複數之前述處理單元之真空搬送室;和被與前述真空搬送室作連接之裝載鎖定室;和可將儲存有複數枚之基板的儲存容器作複數之載置之裝載埠;和被設置在前述裝載鎖定室和前述裝載埠之間,並具備有第1搬送機器人之大氣搬送室;和被設置於前述真空搬送室處,並在前述裝載鎖定室和前述腔之間而搬送前述基板之第2搬送機器人的裝置中,將被收容於第X個(X為自然數)的前述儲存容器中之最後的基板,搬送至第m個(m為自然數)之前述處理單元之中之不存在有基板的狀態之複數之前述腔之中之1個的腔中之程序;和將被收容在第X+1個的前述儲存容器中之複數之基板中之最初作搬送的基板,搬送至第m+1個的處理單元之中之複數之前述腔之任一者中之程序;和對被收容在前述第X個的前述儲存容器中之最後的基板之被搬送的第n個(n為自然數)的腔作記錄之程序;和 將被收容在前述第X+1個的儲存容器中之複數之基板之中之最後的基板搬送至第n+1個的腔中之程序。
TW104143858A 2015-09-29 2015-12-25 Substrate processing apparatus, manufacturing method of semiconductor apparatus, program, and recording medium TWI608560B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015191270A JP6089082B1 (ja) 2015-09-29 2015-09-29 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体

Publications (2)

Publication Number Publication Date
TW201712784A TW201712784A (zh) 2017-04-01
TWI608560B true TWI608560B (zh) 2017-12-11

Family

ID=58186039

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104143858A TWI608560B (zh) 2015-09-29 2015-12-25 Substrate processing apparatus, manufacturing method of semiconductor apparatus, program, and recording medium

Country Status (5)

Country Link
US (1) US9728431B2 (zh)
JP (1) JP6089082B1 (zh)
KR (1) KR101796542B1 (zh)
CN (1) CN106558516B (zh)
TW (1) TWI608560B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6338989B2 (ja) * 2014-09-19 2018-06-06 東京エレクトロン株式会社 基板搬送方法
CN110462809B (zh) * 2017-04-06 2023-07-25 东京毅力科创株式会社 基片处理装置和基片输送方法
KR102164067B1 (ko) * 2017-09-29 2020-10-12 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
JP7137408B2 (ja) * 2017-09-29 2022-09-14 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
JP2019125736A (ja) * 2018-01-18 2019-07-25 株式会社Kokusai Electric 基板処理システム、半導体装置の製造方法、基板処理装置、プログラム
JP6704008B2 (ja) * 2018-03-26 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
CN112005356A (zh) * 2018-05-03 2020-11-27 应用材料公司 高速旋转分类器中的基板倾斜控制
US11270899B2 (en) * 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
US11031264B2 (en) * 2018-08-15 2021-06-08 Taiwan Semoconductor Manufacturing Co., Ltd. Semiconductor device manufacturing system
JP6719523B2 (ja) * 2018-09-18 2020-07-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
JP7126466B2 (ja) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7240980B2 (ja) * 2019-07-29 2023-03-16 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US11823932B2 (en) * 2020-08-26 2023-11-21 Samsung Electronics Co., Ltd. Substrate processing system and substrate processing apparatus
JP7227950B2 (ja) * 2020-09-23 2023-02-22 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN113314448B (zh) * 2021-05-13 2022-07-22 长江存储科技有限责任公司 半导体传输设备及其控制方法
CN113707585A (zh) * 2021-08-23 2021-11-26 上海引万光电科技有限公司 一种磁悬浮式衬底传送腔及传送方法
JP7430677B2 (ja) 2021-09-21 2024-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN114446837A (zh) * 2022-02-23 2022-05-06 上海普达特半导体设备有限公司 一种环形分布式半导体设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010141000A (ja) * 2008-12-10 2010-06-24 Hitachi Kokusai Electric Inc 基板処理装置
TW201521110A (zh) * 2013-08-09 2015-06-01 Tokyo Electron Ltd 基板處理系統

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950948A (ja) 1995-08-08 1997-02-18 Kokusai Electric Co Ltd 半導体製造装置の障害対処システム
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP2001015572A (ja) 1999-06-30 2001-01-19 Matsushita Electric Ind Co Ltd プロセス設備における搬送制御方法および装置
JP4334817B2 (ja) 2002-05-15 2009-09-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7385937B2 (en) * 2003-07-23 2008-06-10 International Business Machines Corporation Method and system for determining a path between two points of an IP network over which datagrams are transmitted
US20050187647A1 (en) * 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP2008135517A (ja) 2006-11-28 2008-06-12 Tokyo Electron Ltd 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP2010014100A (ja) 2008-07-07 2010-01-21 Hitachi Ltd 翼エロージョン監視方法及び翼エロージョン監視システム
JP5106331B2 (ja) * 2008-09-16 2012-12-26 東京エレクトロン株式会社 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US9312155B2 (en) * 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP6026801B2 (ja) * 2011-10-19 2016-11-16 株式会社日立国際電気 基板処理装置、基板搬送方法及び半導体装置の製造方法
JP6235294B2 (ja) * 2013-10-07 2017-11-22 東京エレクトロン株式会社 基板搬送室及び容器接続機構

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010141000A (ja) * 2008-12-10 2010-06-24 Hitachi Kokusai Electric Inc 基板処理装置
TW201521110A (zh) * 2013-08-09 2015-06-01 Tokyo Electron Ltd 基板處理系統

Also Published As

Publication number Publication date
CN106558516B (zh) 2019-07-30
US20170092517A1 (en) 2017-03-30
JP2017069315A (ja) 2017-04-06
US9728431B2 (en) 2017-08-08
JP6089082B1 (ja) 2017-03-01
CN106558516A (zh) 2017-04-05
KR20170038139A (ko) 2017-04-06
KR101796542B1 (ko) 2017-11-10
TW201712784A (zh) 2017-04-01

Similar Documents

Publication Publication Date Title
TWI608560B (zh) Substrate processing apparatus, manufacturing method of semiconductor apparatus, program, and recording medium
JP5947435B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR101880516B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN110957236B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
TWI710051B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP2017157705A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TWI784188B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP7191910B2 (ja) 基板処理システム、半導体装置の製造方法及びプログラム
JP7430677B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム