JP2017157705A - 基板処理装置、半導体装置の製造方法及びプログラム - Google Patents

基板処理装置、半導体装置の製造方法及びプログラム Download PDF

Info

Publication number
JP2017157705A
JP2017157705A JP2016040011A JP2016040011A JP2017157705A JP 2017157705 A JP2017157705 A JP 2017157705A JP 2016040011 A JP2016040011 A JP 2016040011A JP 2016040011 A JP2016040011 A JP 2016040011A JP 2017157705 A JP2017157705 A JP 2017157705A
Authority
JP
Japan
Prior art keywords
substrate
gas
chamber
processing
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016040011A
Other languages
English (en)
Other versions
JP6240695B2 (ja
Inventor
橘 八幡
Takashi Yahata
橘 八幡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2016040011A priority Critical patent/JP6240695B2/ja
Priority to KR1020170025183A priority patent/KR101929857B1/ko
Priority to US15/444,878 priority patent/US10184177B2/en
Priority to CN201710110698.6A priority patent/CN107154370B/zh
Priority to TW106106672A priority patent/TWI633578B/zh
Publication of JP2017157705A publication Critical patent/JP2017157705A/ja
Application granted granted Critical
Publication of JP6240695B2 publication Critical patent/JP6240695B2/ja
Priority to US16/141,563 priority patent/US10914005B2/en
Priority to KR1020180155656A priority patent/KR102035294B1/ko
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Inorganic Chemistry (AREA)

Abstract

【課題】基板への処理品質を向上させる技術を提供する。【解決手段】基板を処理する複数の処理室と、基板を搬送する搬送室と、搬送室と処理室との間に設けられ、処理室のそれぞれに対応する複数の移載室と、搬送室と移載室との間に設けられた複数のゲートバルブと、搬送室に設けられ、複数のゲートバルブを通過する位置の基板それぞれに対して不活性ガスを供給する複数の第1ガス供給部と、搬送室内に設けられ、基板を移載室に搬送する搬送ロボットと、第1ガス供給部の供給孔とゲートバルブを通過する基板との距離が第1距離の時に第1流量で不活性ガスを供給し、距離が第1距離よりも長い第2距離の時に第1流量よりも多い第2流量で不活性ガスを供給する様に複数の第1ガス供給部と搬送ロボットを制御する制御部と、を有する。【選択図】図1

Description

本発明は、基板処理装置、半導体装置の製造方法及びプログラムに関する。
半導体装置(デバイス)の製造工程の一工程として、基板に対して処理ガスと反応ガスを供給し、基板に膜を形成する処理工程が行われている。例えば、特許文献1,2等に記載されている。
特開2011−181771 特開2010−206222
しかしながら、基板の搬送中に、基板にパーティクルが付着し、基板への処理品質が低下することが有る。
本発明の目的は、基板への処理品質を向上させる技術を提供することにある。
一態様によれば、
基板を処理する複数の処理室と、基板を搬送する搬送室と、搬送室と処理室との間に設けられ、処理室のそれぞれに対応する複数の移載室と、搬送室と移載室との間に設けられた複数のゲートバルブと、搬送室に設けられ、複数のゲートバルブを通過する位置の基板それぞれに対して不活性ガスを供給する複数の第1ガス供給部と、搬送室内に設けられ、基板を移載室に搬送する搬送ロボットと、第1ガス供給部の供給孔とゲートバルブを通過する基板との距離が第1距離の時に第1流量で不活性ガスを供給し、距離が第1距離よりも長い第2距離の時に第1流量よりも多い第2流量で不活性ガスを供給する様に複数の第1ガス供給部と搬送ロボットを制御する制御部と、を有する技術が提供される。
本発明に係る技術によれば、基板への処理品質を向上させることが可能となる。
一実施形態に係る基板処理システムの横断面の概略図である。 一実施形態に係る基板処理システムの縦断面の概略図である。 一実施形態に係る基板処理システムの真空搬送室内のプロセスモジュール付近の概略図である。 一実施形態に係る基板処理システムの真空搬送室内のプロセスモジュール付近の概略図でウエハが無い場合を示す図である。 一実施形態に係る基板処理システムの真空搬送室内のプロセスモジュール付近の概略図でウエハが搬入前と搬出後を示す図である。 一実施形態に係る第1ガス供給部とウエハとの位置関係を示す図である。 一実施形態に係る第1ガス供給部へのガス供給系を説明するための図である。 一実施形態に係る基板処理システムの真空搬送ロボットの概略図である。 一実施形態に係る真空搬送ロボットのエンドエフェクタの高さの関係を示す図である。 一実施形態に係る基板処理装置の概略構成図である。 一実施形態に係るチャンバの縦断面の概略図である。 一実施形態に係るガス供給系を説明するための図である。 一実施形態に係る基板処理システムのコントローラの概略構成図である。 一実施形態に係る基板処理工程のフロー図である。 一実施形態に係る基板処理工程のシーケンス図である。 他の実施形態に係る基板処理システムの縦断面の概略図である。 他の実施形態に係る基板処理システムの真空搬送室内のプロセスモジュール付近の概略図である。
<第1実施形態>
以下に本発明の第1実施形態を図面に即して説明する。
以下に、本実施形態に係る基板処理システムを説明する。
(1)基板処理システムの構成
本発明の一実施形態に係る基板処理システムの概要構成を、図1から図11を用いて説明する。図1は本実施形態に係る基板処理システムの構成例を示す横断面図である。図2は、本実施形態に係る基板処理システムの構成例を示す図1のα−α’における縦断面図である。図3は、真空搬送室とプロセスモジュール付近を図2のγ―γ´を、Z1方向に見た概略図である。なお、図3では、真空搬送ロボット1700等の構成を省略している。図6は、第1ガス供給部とウエハ200との位置関係を示す図である。図7は、搬送室に不活性ガスを供給する不活性ガス供給系を説明する説明図である。図8は図1のアームの詳細を説明した説明図である。図10は図1のβ−β’の縦断面図であり、プロセスモジュールに供給するガス供給系を説明する説明図である。図11は、プロセスモジュールに設けられるチャンバを説明する説明図である。
図1および図2において、本発明が適用される基板処理システム1000は、ウエハ200を処理するもので、IOステージ1100、大気搬送室1200、ロードロック室1300、真空搬送室1400、プロセスモジュール110で主に構成される。次に各構成について具体的に説明する。図1の説明においては、前後左右は、X1方向が右、X2方向が左、Y1方向が前、Y2方向が後とする。
(大気搬送室・IOステージ)
基板処理システム1000の手前には、IOステージ(ロードポート)1100が設置されている。IOステージ1100上には複数のポッド1001が搭載されている。ポッド1001はシリコン(Si)基板などの基板200を搬送するキャリアとして用いられ、ポッド1001内には、未処理の基板(ウエハ)200や処理済の基板200がそれぞれ水平姿勢で複数格納されるように構成されている。
ポッド1001にはキャップ1120が設けられ、後述するポッドオープナ1210によって開閉される。ポッドオープナ1210は、IOステージ1100に載置されたポッド1001のキャップ1120を開閉し、基板出し入れ口を開放・閉鎖することにより、ポッド1001に対する基板200の出し入れを可能とする。ポッド1001は図示しない工程内搬送装置(RGV)によって、IOステージ1100に対して、供給および排出される。
IOステージ1100は大気搬送室1200に隣接する。大気搬送室1200は、IOステージ1100と異なる面に、後述するロードロック室1300が連結される。
大気搬送室1200内には基板200を移載する第1搬送ロボットとしての大気搬送ロボット1220が設置されている。図2に示されているように、大気搬送ロボット1220は大気搬送室1200に設置されたエレベータ1230によって昇降されるように構成されているとともに、リニアアクチュエータ1240によって左右方向に往復移動されるように構成されている。
図2に示されているように、大気搬送室1200の上部にはクリーンエアを供給するクリーンユニット1250が設置されている。また、図1に示されているように、大気搬送室1200の左側には基板200に形成されているノッチまたはオリエンテーションフラットを合わせる装置(以下、プリアライナという)1260が設置されている。
図1および図2に示されているように、大気搬送室1200の筐体1270の前側には、基板200を大気搬送室1200に対して搬入搬出するための基板搬入搬出口1280と、ポッドオープナ1210とが設置されている。基板搬入搬出口1280を挟んでポッドオープナ1210と反対側、すなわち筐体1270の外側にはIOステージ(ロードポート)1100が設置されている。
大気搬送室1200の筐体1270の後ろ側には、ウエハ200をロードロック室1300に搬入搬出するための基板搬入出口1290が設けられる。基板搬入出口1290は、後述するゲートバルブ1330によって解放・閉鎖することにより、ウエハ200の出し入れを可能とする。
(ロードロック(L/L)室)
ロードロック室1300は大気搬送室1200に隣接する。ロードロック室1300を構成する筐体1310が有する面のうち、大気搬送室1200とは異なる面には、後述するように、真空搬送室1400が配置される。ロードロック室1300は、大気搬送室1200の圧力と真空搬送室1400の圧力に合わせて筐体1310内の圧力が変動するため、負圧に耐え得る構造に構成されている。
筐体1310のうち、真空搬送室1400と隣接する側には、基板搬入搬出口1340が設けられる。基板搬入出口1340は、ゲートバルブ1350によって解放・閉鎖することで、ウエハ200の出し入れを可能とする。
さらに、ロードロック室1300内には、ウエハ200を載置する載置面1311(1311a,1311b)を少なくとも二つ有する基板載置台1320が設置されている。基板載置面1311間の距離は、後述する真空搬送ロボット1700が有するフィンガ間の距離に応じて設定される。
(真空搬送室)
基板処理システム1000は、負圧下で基板200が搬送される搬送空間となる搬送室としての真空搬送室(トランスファモジュール)1400を備えている。真空搬送室1400を構成する筐体1410は平面視が五角形に形成され、五角形の各辺には、ロードロック室1300及びウエハ200を処理するプロセスモジュール110a〜110dが連結されている。真空搬送室1400の略中央部には、負圧下で基板200を移載(搬送)する第2搬送ロボットとしての真空搬送ロボット1700がフランジ1430を基部として設置されている。なお、ここでは、真空搬送室1400を五角形の例を示すが、四角形や六角形などの多角形であっても良い。
筐体1410の側壁のうち、ロードロック室1300と隣接する側には、基板搬入搬出口1420が設けられている。基板搬入出口1420は、ゲートバルブ1350によって解放・閉鎖することで、ウエハ200の出し入れを可能とする。
真空搬送室1400内に設置される搬送ロボットとしての真空搬送ロボット1700は、図2に示すように、エレベータ1450およびフランジ1430によって真空搬送室1400の気密性を維持しつつ昇降できるように構成されている。真空搬送ロボット1700の詳細な構成は後述する。エレベータ1450は、真空搬送ロボット1700が有する二つのアーム1800と1900をそれぞれ独立して昇降可能なよう構成されている。
図1に示されているように、筐体1410の五枚の側壁のうち、ロードロック室1300が設置されていない側には、ウエハ200に所望の処理を行うプロセスモジュール110a、110b、110c、110dが連結されている。
プロセスモジュール110a、110b、110c、110dのそれぞれには、基板処理装置の一構成のチャンバ100が設けられている。具体的には、プロセスモジュール110aはチャンバ100a、100bが設けられる。プロセスモジュール110bにはチャンバ100c、100dが設けられる。プロセスモジュール110cにはチャンバ100e、100fが設けられる。プロセスモジュール110dにはチャンバ100g、100hが設けられる。
筐体1410の側壁のうち、各チャンバ100と向かい合う壁には基板搬入出口1480が設けられる。例えば、図2に記載のように、チャンバ100eと向かい合う壁には、基板入出口1480eが設けられる。
図2のうち、チャンバ100eをチャンバ100aに置き換えた場合、チャンバ100aと向かい合う壁には、基板搬入搬出口1480aが設けられる。
同様に、チャンバ100fをチャンバ100bに置き換えた場合、チャンバ100bと向かい合う壁には、基板搬入搬出口1480bが設けられる。
ゲートバルブ(GV)1490は、図1に示されているように、処理室ごとに設けられる。具体的には、チャンバ100aと真空搬送室1400との間にはゲートバルブ1490aが、チャンバ100bとの間にはゲートバルブ1490bが設けられる。チャンバ100cとの間にはゲートバルブ1490cが、チャンバ100dとの間にはゲートバルブ1490dが設けられる。チャンバ100eとの間にはゲートバルブ1490eが、チャンバ100fとの間にはゲートバルブ1490fが設けられる。チャンバ100gとの間にはゲートバルブ1490gが、チャンバ100hとの間にはゲートバルブ1490hが設けられる。
各ゲートバルブ1490によって解放・閉鎖することで、基板搬入出口1480を介したウエハ200の出し入れを可能とする。
ここで、発明者は、この様な装置構成において、以下の課題を見出した。図16に示すように、各プロセスモジュールには、複数のチャンバが設けられ、ゲートバルブが隣り合うように構成されている。図3では、プロセスモジュール110aの例を示している。ウエハ200を各チャンバに搬入する際、後述するように、チャンバ100aの圧力とチャンバ100bの圧力とが異なり、真空搬送室1400の圧力とチャンバ100aの圧力,チャンバ100bの圧力の関係によって、チャンバ100aとチャンバ100bのいずれかまたは両方から真空搬送室1400に、チャンバ内の雰囲気が流れ込む課題が有る。また、チャンバ100aからチャンバ100bに雰囲気が流れ込むことや、チャンバ100bからチャンバ100aに雰囲気が流れ込む課題が有る。発明者が鋭意研究した結果、図2の破線矢印で記した方向へのガス流を設けることによって、チャンバ100から真空搬送室1400への雰囲気の流出を抑制できることを見出した。以下に、不活性ガスを供給する構成について記す。
<第1ガス供給部>
図1,3,4に示す様に、ゲートバルブ(GV)1490a付近であって筐体1410の天井には、ウエハ200の表面に不活性ガスを供給するためのガス供給孔(第1ガス供給孔,不活性ガス供給孔,GVガス供給孔)1460が設けられる。第1ガス供給孔1460の周りには、ガスガイド1461が設けられている。ガスガイド1461は、第1ガス供給孔1460から供給される不活性ガスが、真空搬送室1400の上方に拡散することを抑制させる。ここで図3は、図2に示したγ―γ´をZ1方向に見た図である。なお、図3では、真空搬送ロボット1700等の構成は省略している。図6は、第1ガス供給部とウエハ200の位置関係を示している。
第1ガス供給孔1460には不活性ガス供給管1510が接続される。不活性ガス供給管1510には上流から順に不活性ガス源1520、マスフローコントローラ1530、バルブ1540が設けられている。マスフローコントローラ1530、バルブ1540等によって、筐体1410内のゲートバルブ1490付近や、ゲートバルブ1460を通過するウエハ200の表面に供給する不活性ガスの供給量が制御される。なお、好ましくは、第1ガス供給孔1460の下端は、ゲートバルブ1490の上端と同等の高さに配置される。この様に構成することによって、第1ガス供給孔1460から供給される不活性ガスの主流が、GVやチャンバ内に流れるように構成することができる。
主に、第1ガス供給孔1460、不活性ガス供給管1510、マスフローコントローラ1530、バルブ1540で、真空搬送室1400のゲートバルブ1490への不活性ガス供給部(第1ガス供給)1500が構成される。なお、不活性ガス源1520を不活性ガス供給部1500に含めてもよい。
また、第1ガス供給孔1460の下端と、ウエハ200の表面と間の距離Dは、図6に示す様に、D<Lの関係となる様に構成される。ここで、Lは、第1ガス供給孔1460の下端とウエハ200が対向する面のウエハ200の径方向の長さである。この様に構成することによって、第1ガス供給孔1460から供給されるガス流を直接ウエハ200に供給させることができる。これにより、ウエハ200の表面に付着している処理ガス,反応ガス,副生成物,パーティクルのいずれか若しくは2つ以上を脱離させて、真空搬送室1400への進入を抑制させることができる。D>Lの関係になっている場合、第1ガス供給孔1460から供給されるガス流が、ウエハ200に供給されることなく真空搬送室1400内に拡散してしまい、ウエハ200に吸着しているガス等を脱離させる効果が低減してしまう。また、このD<Lの関係は、上側のアーム1800が保持しているウエハ200と第1ガス供給孔1460との距離D1と、下側のアーム1900が保持しているウエハ200と第1ガス供給孔1460との距離D2それぞれで、同様である。具体的には、D1<L,D2<Lとなる。なお、図6に示す様に上側アーム1800と下側アーム1900の様に、2つのアームの高さをそれぞれ異ならせることによって、ウエハ200の搬入と搬出を同時に行うことができ、搬送スループットを向上させることができる。
また、好ましくは、二つのアームそれぞれに供給する不活性ガスの流量を異ならせる。上側のアーム1800が保持しているウエハ200と第1ガス供給孔1460の間の距離D1と、下側のアーム1900が保持しているウエハ200と第1ガス供給孔1460の間の距離D2が異なる様に形成されている場合、D1の時の供給量では、D2の時にウエハ200に供給される量が不足し、チャンバから真空搬送室1400にガスが流れ込むことが有る。この場合、不活性ガスの供給量をD2>D1とすることで、チャンバ内の雰囲気が真空搬送室に流れ込むことを抑制させることができる。
なお、図1、図7の様に、他のゲートバルブ1490a、1490b、1490c、1490d、1490e、1490f、1490g、1490hを通過するウエハ200への第1ガス供給部1500(1500a、1500b、1500c、1500d、1500e、1500f、1500g、1500h)も同様に構成される。なお、図7に示す様に、不活性ガス源1520は共通に用いるように構成しても良い。
また、各第1ガス供給部1500に設けられた、マスフローコントローラ1530をそれぞれ制御させることによって、各ゲートバルブを通過するウエハ200の表面に供給される不活性ガス流量をそれぞれ異ならせても良い。また、各第1ガス供給部1500に設けられたバルブ1540の開閉タイミングをそれぞれ異ならせても良い。各ゲートバルブの開閉タイミングや、真空搬送ロボット1700がウエハ200搬送するタイミングや、リフトピン207にウエハ200が載るタイミング等に合わせて不活性ガスの供給タイミングや供給量を変化させる様に構成しても良い。
例えば、各チャンバの雰囲気が真空搬送室内への流れ込みを抑制させるために、各チャンバ内の圧力は、真空搬送室1400内の圧力よりも低く設定される。図3を例に説明すると、プロセスモジュール110aと真空搬送室1400との間のゲートバルブ1490aとゲートバルブ1490bを同時に開けた場合、真空搬送室1400から、2つのチャンバ100a,100bの両方に真空搬送室1400の雰囲気が流れ込み、真空搬送室1400とチャンバ100aとチャンバ100bの圧力差が小さくなることが有る。この場合に、チャンバ100a,100bから真空搬送室1400にガスや、チャンバ100a,100b内に存在する副生成物やパーティクルが流れ込む恐れがある。
また、各第1ガス供給部の第1ガス供給孔1460と対向する側に、ガスガイド1465を設けても良い。ガスガイド1465を設けることによって、第1ガス供給孔1460とウエハ200が対向しない場合であっても、第1ガス供給孔1460から供給される不活性ガスが、真空搬送室1400内に拡散する事無く、チャンバ側に流れるようなガス流れを形成することができる。ここで、対向しない場合とは例えば、以下が有る。それぞれの場合の例を図4と図5に示す。
1)ウエハ200を保持していないエンドエフェクタをチャンバに挿入する場合(図4)。
2)ウエハ200を保持したエンドエフェクタをチャンバに搬送し始める時と、搬出が終わる場合(図5)。
筐体1410の底壁には、筐体1410の雰囲気を排気するための排気口1470が設けられる。排気口1470には、排気管1610が設けられる。排気管1610には、上流から順に圧力制御器であるAPC(AutoPressure Controller)1620、ポンプ1630が設けられる。なお、ここで、APC1620は、少なくとも弁体を有する。弁体はコントローラ260から送信されたデータに基づいて制御可能に構成しても良いし、APC1620の内部に、制御装置を設けてAPC1620単独で弁の開度調整を可能に構成しても良い。
主に、排気口1470、排気管1610、APC1620で真空搬送室1400におけるガス排気部1600が構成される。なお、ポンプ1630を搬送室排気部に含めてもよい。
なお、好ましくは、排気口1470は、各ゲートバルブから遠い位置に設けられる。即ち、真空搬送ロボット1700の近くに設けられる。この様に構成することによって、各第1ガス供給部から供給される不活性ガスや、各チャンバ内の雰囲気が、搬送室1400内に流れ込む事を抑制させることができる。
各ゲートバルブが閉じている間は、第1ガス供給部1500、ガス排気部1600の協働によって真空搬送室1400の雰囲気が制御される。APC1620は、各ゲートバルブの何れかが開いている時や、各ゲートバルブの何れかが開く前には、上記の破線矢印方向のガス流れができるように弁開度を小さくさせる。なお、このとき、APC1620は、完全に閉じる様に構成しても良い。
続いて、真空搬送室1400に搭載される真空搬送ロボット1700について、図8を用いて説明する。図8は図1の真空搬送ロボット1700を拡大した図である。
真空搬送ロボット1700は、二つのアーム1800とアーム1900を備える。アーム1800は、先端に二つのエンドエフェクタ1810とエンドエフェクタ1820が設けられたフォークポーション1830を有する。フォークポーション1830の根元にはミドルポーション1840が軸1850を介して接続される。なお、アーム1800は、2枚のウエハ200を同時に搬送する関係上、エンドエフェクタ1810の高さとエンドエフェクタ1820の高さが異なる様に形成されている場合が有る。エンドエフェクタの高さを異ならせることによって、搬送時のウエハ200の位置調整を容易化し、搬送スループットを向上させることができる。ここでは、例えば、図9に示す様に、エンドエフェクタ1810の高さが、エンドエフェクタ1820よりも高くなる様に構成される。
この様に、エンドエフェクタ1810の高さとエンドエフェクタ1820の高さが異なる場合、上述の距離Dが、エンドエフェクタによって変化する。この様な場合、エンドエフェクタ上のコンダクタンスが変化するため、距離Dが短い方から長い方へ不活性ガスが流れることが有る。これにより、一つのチャンバの雰囲気やパーティクルが他のチャンバに流れることが有る。図3の場合では、チャンバ100bからチャンバ100aの方にガスが流れる。この場合、ガス供給孔1460bに供給する不活性ガスの流量を、ガス供給孔1460aに供給する不活性ガスの流量よりも少なくするか、ガス供給孔1460aに供給する不活性ガスの流量をガス供給孔1460bに供給する不活性ガスの流量よりも多くすることによって、チャンバ100bからチャンバ100aへのガス流れを抑制させることができる。
エンドエフェクタ1810とエンドエフェクタ1820には、それぞれのプロセスモジュール110から搬出されるウエハ200が載置される。図2においては、プロセスモジュール110cから搬出されるウエハ200が載置される例を示す。
ミドルポーション1840のうち、フォークポーション1830と異なる箇所には、ボトムポーション1860が軸1870を介して接続される。ボトムポーション1860は、軸1880を介してフランジ1430に配置される。
アーム1900は、先端に二つのエンドエフェクタ1910とエンドエフェクタ1920が設けられたフォークポーション1930を有する。フォークポーション1930の根元にはミドルポーション1940が軸1950を介して接続される。なお、アーム1900は、2枚のウエハ200を同時に搬送する関係上、エンドエフェクタ1910の高さとエンドエフェクタ1920の高さが異なる様に構成されている。エンドエフェクタの高さを異ならせることによって、搬送時のウエハ200の位置調整を容易化させることができる。ここでは、例えば、エンドエフェクタ1910の高さが、エンドエフェクタ1920よりも高くなる様に構成される。
エンドエフェクタ1910とエンドエフェクタ1920には、ロードロック室1300から搬出されるウエハ200が載置される。
ミドルポーション1940うち、フォークポーション1930と異なる箇所には、ボトムポーション1960が軸1970を介して接続される。ボトムポーション1970は、軸1980を介してフランジ1430に配置される。
また、エンドエフェクタ1810、エンドエフェクタ1820は、エンドエフェクタ1910、エンドエフェクタ1920よりも高い位置に配置される。
真空搬送ロボット1700は軸を中心とした回転や、アームの延伸が可能である。
(プロセスモジュール)
続いて各プロセスモジュール110の内、プロセスモジュール110aについて、図1、図2、図10を例にして説明する。図10はプロセスモジュール110aとプロセスモジュール110aに接続されるガス供給部と、プロセスモジュール110aに接続されるガス排気部との関連を説明する説明図である。
ここではプロセスモジュール110aを例にしているが、他のプロセスモジュール110b、プロセスモジュール110c、プロセスモジュール110dにおいても同様の構造であるため、ここでは説明を省略する。
図10に記載のように、プロセスモジュール110aには、ウエハ200を処理する基板処理装置の一構成のチャンバ100aとチャンバ100bが設けられる。チャンバ100aとチャンバ100bの間には隔壁2040aが設けられ、それぞれのチャンバ内の雰囲気が混在しないように構成される。
図2に記載のように、チャンバ100eと真空搬送室1400が隣り合う壁には、基板搬入搬出口2060eが設けられ、同様に、チャンバ100aと真空搬送室1400が隣り合う壁には基板搬入出口2060aが設けられている。
各チャンバ100にはウエハ200を支持する基板支持部210が設けられている。
プロセスモジュール110aには、チャンバ100aとチャンバ100bのそれぞれに処理ガスを供給するガス供給部が接続されている。ガス供給部は、第1の処理ガス供給部、第2の処理ガス供給部、第1パージガス供給部、第2パージガス供給部の少なくとも一つ以上で構成される。各ガス供給部の構成については後述する。
(1)基板処理装置の構成
第1実施形態に係る基板処理装置について説明する。
本実施形態に係る処理装置100について説明する。基板処理装置100は、高誘電率絶縁膜形成ユニットであり、図1に示されているように、枚葉式基板処理装置として構成されている。基板処理装置では、上述のような半導体デバイスの製造の一工程が行われる。
図11に示すとおり、基板処理装置100は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料または、石英により構成されている。処理容器202内には、基板としてのシリコンウエハ等のウエハ200を処理する処理空間(処理室)201、移載空間(移載室)203が形成されている。処理容器202は、上部容器202aと下部容器202bで構成される。上部容器202aと下部容器202bの間には仕切り板204が設けられる。上部処理容器202aに囲まれた空間であって、仕切り板204よりも上方の空間を処理空間(処理室ともいう)201と呼び、下部容器202bに囲まれた空間であって、仕切り板よりも下方の空間を移載室203と呼ぶ。
下部容器202bの側面には、ゲートバルブ1490に隣接した基板搬入出口1480が設けられており、ウエハ200は基板搬入出口1480を介して図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。更に、下部容器202bは接地されている。
処理室201内には、ウエハ200を支持する基板支持部210が設けられている。基板支持部210は、ウエハ200を載置する載置面211と、載置面211と外周面215を表面に持つ基板載置台212とを有する。好ましくは、加熱部としてのヒータ213を設ける。加熱部を設けることにより、基板を加熱させ、基板上に形成される膜の品質を向上させることができる。基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられていても良い。なお、基板載置台212の表面に形成された載置面211の高さを外周面215よりもウエハ200の厚さに相当する長さ分低く形成しても良い。この様に構成することで、ウエハ200の上面の高さと基板載置台212の外周面215との高さの差が小さくなり、差によって発生するガスの乱流を抑制することができる。また、ガスの乱流がウエハ200への処理均一性に影響を与えない場合は、外周面215の高さを載置面211と同一平面上の高さ以上となるように構成しても良い。
基板載置台212はシャフト217によって支持される。シャフト217は、処理容器202の底部を貫通しており、更には処理容器202の外部で昇降機構218に接続されている。昇降機構218を作動させてシャフト217及び基板載置台212を昇降させることにより、基板載置面211上に載置されるウエハ200を昇降させることが可能に構成される。なお、シャフト217下端部の周囲はベローズ219により覆われており、処理室201内は気密に保持されている。
基板載置台212は、ウエハ200の搬送時には、基板載置面211が基板搬入出口206の位置(ウエハ搬送位置)となるように下降し、ウエハ200の処理時には図1で示されるように、ウエハ200が処理室201内の処理位置(ウエハ処理位置)まで上昇する。
具体的には、基板載置台212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が基板載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、基板載置台212をウエハ処理位置まで上昇させたときには、リフトピン207は基板載置面211の上面から埋没して、基板載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。なお、リフトピン207に昇降機構を設けて、基板載置台212とリフトピン207が相対的に動くように構成してもよい。
(排気系)
処理室201(上部容器202a)の内壁上面には、処理室201の雰囲気を排気する第1排気部としての排気口221が設けられている。排気口221には第1排気管としての排気管224が接続されており、排気管224には、処理室201内を所定の圧力に制御するバルブ227、圧力調整器226,222、真空ポンプ223が順に直列に接続されている。主に、排気口221、排気管224、バルブ227により、第1の排気部(排気ライン)が構成される。なお、圧力調整器226,222、真空ポンプ223を第1の排気部に含めるように構成しても良い。
バッファ空間232を構成する整流板270の裏面には、バッファ空間232の雰囲気を排気する第2排気部としてのシャワーヘッド排気口240が設けられている。バッファ空間232の雰囲気は、整流板270の裏面と排気ガイド235で構成される排気流路238を介して、シャワーヘッド排気口240へ排気可能に構成される。シャワーヘッド排気口240には第2排気管としての排気管236が接続されており、排気管236には、バルブ237、等が順に直列に接続されている。主に、シャワーヘッド排気口240、バルブ237、排気管236により、第2の排気部(排気ライン)が構成される。また、排気管236を真空ポンプ223に接続するように構成しても良い。
また、下部容器202bには、下部容器排気口1481が設けられ、下部容器202b内を排気可能に構成されている。また、下部容器排気口1481は、下部容器202bを介して上部容器202aを排気することも可能である。
(ガス導入口)
処理室201の上部に設けられるシャワーヘッド234の上面(天井壁)には、処理室201内に各種ガスを供給するためのガス導入口241が設けられている。ガス供給部である第1ガス導入口241に接続されるガス供給ユニットの構成については後述する。
(ガス分散部)
シャワーヘッド234は、バッファ室(空間)232、分散板234b、分散孔234aにより構成されている。シャワーヘッド234は、ガス導入口241と処理室201との間に設けられている。ガス導入口241から導入されるガスはシャワーヘッド234のバッファ空間232(分散部)に供給される。シャワーヘッド234は、例えば、石英、アルミナ、ステンレス、アルミなどの材料で構成される。
なお、シャワーヘッド234の蓋231を導電性のある金属で形成して、バッファ空間232又は処理室201内に存在するガスを励起するための活性化部(励起部)としても良い。この際には、蓋231と上部容器202aとの間には絶縁ブロック233が設けられ、蓋231と上部容器202aの間を絶縁している。活性化部としての電極(蓋231)には、整合器251と高周波電源252を接続し、電磁波(高周波電力やマイクロ波)が供給可能に構成されても良い。
バッファ空間232には、ガス導入口241から導入されたガスをバッファ空間232に拡散させるための整流部としての整流板270が設けられている。
(処理ガス供給部)
整流板270に接続されたガス導入口241には、共通ガス供給管242が接続されている。図12に示す様に、共通ガス供給管242には、第1処理ガス供給管243a、第2処理ガス供給管244a、パージガス供給管245a、クリーニングガス供給管248aが接続されている。
第1処理ガス供給管243aを含む第1処理ガス供給部243からは第1元素含有ガス(第1処理ガス)が主に供給され、第2ガス供給管244aを含む第2の処理ガス供給部244からは主に第2元素含有ガス(第2処理ガス)が供給される。パージガス供給管245aを含むパージガス供給部245からは、主にパージガスが供給され、クリーニングガス供給管248aを含むクリーニングガス供給部248からはクリーニングガスが供給される。
(第1処理ガス供給部)
第1処理ガス供給管243aには、上流方向から順に、第1処理ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、及び開閉弁であるバルブ243dが設けられている。
第1処理ガス供給源243bから、第1元素を含有するガス(第1処理ガス)が供給され、マスフローコントローラ243c、バルブ243d、第1処理ガス供給管243a、共通ガス供給管242を介してガスバッファ空間232に供給される。
第1処理ガスは、原料ガス、すなわち、処理ガスの一つである。
ここで、第1元素は、例えばシリコン(Si)である。すなわち、第1処理ガスは、例えばシリコン含有ガスである。シリコン含有ガスとしては、例えばジクロロシラン(Dichlorosilane(SiHCl):DCS)ガスを用いることができる。なお、第1処理ガスの原料は、常温常圧で固体、液体、及び気体のいずれであっても良い。第1処理ガスの原料が常温常圧で液体の場合は、第1処理ガス供給源243bとマスフローコントローラ243cとの間に、図示しない気化器を設ければよい。ここでは原料は気体として説明する。
第1処理ガス供給管243aのバルブ243dよりも下流側には、第1不活性ガス供給管246aの下流端が接続されている。第1不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)246c、及び開閉弁であるバルブ246dが設けられている。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、第1処理ガス供給管243a、マスフローコントローラ243c、バルブ243dにより、第1元素含有ガス供給部243(シリコン含有ガス供給部ともいう)が構成される。
また、主に、第1不活性ガス供給管246a、マスフローコントローラ246c及びバルブ246dにより第1不活性ガス供給部が構成される。なお、不活性ガス供給源246b、第1ガス供給管243aを、第1不活性ガス供給部に含めて考えてもよい。
更には、第1処理ガス供給源243b、第1不活性ガス供給部を、第1元素含有ガス供給部に含めて考えてもよい。
(第2処理ガス供給部)
第2処理ガス供給管244aの上流には、上流方向から順に、第2処理ガス供給源244b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)244c、及び開閉弁であるバルブ244dが設けられている。
第2処理ガス供給源244bから、第2元素を含有するガス(以下、「第2の処理ガス」)が供給され、マスフローコントローラ244c、バルブ244d、第2処理ガス供給管244a、共通ガス供給管242を介して、バッファ空間232に供給される。
第2処理ガスは、処理ガスの一つである。なお、第2処理ガスは、処理ガスと反応する反応ガスであっても良く、基板に形成された膜と反応する改質ガスとして考えてもよい。
ここで、第2処理ガスは、第1元素と異なる第2元素を含有する。第2元素としては、例えば、酸素(O)、窒素(N)、炭素(C)、水素(H)の内、一つ以上を含んでいる。本実施形態では、第2の処理ガスは、例えば窒素含有ガスであるとする。具体的には、窒素含有ガスとしては、アンモニア(NH)ガスが用いられる。
主に、第2処理ガス供給管244a、マスフローコントローラ244c、バルブ244dにより、第2処理ガス供給部244が構成される。
これに加えて、活性化部としてのリモートプラズマユニット(RPU)244eを設けて、第2処理ガスを活性化可能に構成しても良い。
また、第2処理ガス供給管244aのバルブ244dよりも下流側には、第2不活性ガス供給管247aの下流端が接続されている。第2不活性ガス供給管247aには、上流方向から順に、不活性ガス供給源247b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)247c、及び開閉弁であるバルブ247dが設けられている。
第2不活性ガス供給管247aからは、不活性ガスが、マスフローコントローラ247c、バルブ247d、第2ガス供給管247aを介して、バッファ空間232に供給される。不活性ガスは、成膜工程(後述するS203〜S207)ではキャリアガス或いは希釈ガスとして作用する。
主に、第2不活性ガス供給管247a、マスフローコントローラ247c及びバルブ247dにより第2不活性ガス供給部が構成される。なお、不活性ガス供給源247b、第2ガス供給管244aを第2不活性ガス供給部に含めて考えてもよい。
更には、第2処理ガス供給源244b、第2不活性ガス供給部を、第2元素含有ガス供給部244に含めて考えてもよい。
(パージガス供給部)
パージガス供給管245aには、上流方向から順に、パージガス供給源245b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)245c、及び開閉弁であるバルブ245dが設けられている。
パージガス供給源245bから、パージガスとしての不活性ガスが供給され、マスフローコントローラ245c、バルブ245d、パージガス供給管245a、共通ガス供給管242を介してバッファ空間232に供給される。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、パージガス供給管245a、マスフローコントローラ245c、バルブ245dにより、パージガス供給部245(パージガス供給部ともいう)が構成される。
(クリーニングガス供給部)
クリーニングガス供給管243aには、上流方向から順に、クリーニングガス源248b、マスフローコントローラ(MFC)248c、バルブ248d、リモートプラズマユニット(RPU)250が設けられている。
クリーニングガス源248bから、クリーニングガスが供給され、MFC248c、バルブ248d、RPU250、クリーニングガス供給管248a、共通ガス供給管242を介してガスバッファ空間232に供給される。
クリーニングガス供給管248aのバルブ248dよりも下流側には、第四の不活性ガス供給管249aの下流端が接続されている。第四の不活性ガス供給管249aには、上流方向から順に、第四の不活性ガス供給源249b、MFC249c、バルブ249dが設けられている。
また、主に、クリーニングガス供給管248a、MFC248c及びバルブ248dによりクリーニングガス供給部が構成される。なお、クリーニングガス源248b、第四不活性ガス供給管249a、RPU250を、クリーニングガス供給部に含めて考えてもよい。
なお、第四の不活性ガス供給源249bから供給される不活性ガスを、クリーニングガスのキャリアガス或いは希釈ガスとして作用するように供給しても良い。
クリーニングガス供給源248bから供給されるクリーニングガスは、クリーニング工程ではガス整流部234や処理室201に付着した副生成物等を除去するクリーニングガスとして作用する。
ここで、クリーニングガスは、例えば三フッ化窒素(NF)ガスである。なお、クリーニングガスとして、例えば、フッ化水素(HF)ガス、三フッ化塩素ガス(ClF)ガス、フッ素(F)ガス等を用いても良く、またこれらを組合せて用いても良い。
また好ましくは、上述の各ガス供給部に設けられた、流量制御部としては、ニードルバルブやオリフィスなどの、ガスフローの応答性が高いガスが良い。例えば、ガスのパルス幅がミリ秒オーダーになった場合は、MFCでは応答できないことが有るが、ニードルバルブやオリフィスの場合は、高速なON/OFFバルブと組み合わせることで、ミリ秒以下のガスパルスに対応することが可能となる。
(制御部)
図13に示すようにチャンバ100は、チャンバ100の各部の動作を制御するコントローラ260を有している。
コントローラ260の概略を図13に示す。制御部(制御手段)であるコントローラ260は、CPU(Central Processing Unit)260a、RAM(Random Access Memory)260b、記憶装置260c、I/Oポート260dを備えたコンピュータとして構成されている。RAM260b、記憶装置260c、I/Oポート260dは、内部バス260eを介して、CPU260aとデータ交換可能なように構成されている。コントローラ260には、例えばタッチパネル等として構成された入出力装置261や、外部記憶装置262、受信部285などが接続可能に構成されている。
記憶装置260cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置260c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件などが記載されたプロセスレシピ、ウエハ200への処理に用いるプロセスレシピを設定するまでの過程で生じる演算データや処理データ等が読み出し可能に格納されている。なお、プロセスレシピは、後述する基板処理工程における各手順をコントローラ260に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプログラムレシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、プログラムレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM260bは、CPU260aによって読み出されたプログラム、演算データ、処理データ等のデータが一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート260dは、ゲートバルブ1330,1350,1490、昇降機構218、ヒータ213、圧力調整器222,226,1620、真空ポンプ223(223a,223b,223c,223d),1630、整合器251、高周波電源252、マスフローコントローラ(MFC)243c,244c,245c,246c,247c,248c,249c,1530、バルブ227,228,236,237,243d,244d,245d,246d,247d,248d,249d,1540、リモートプラズマユニット(RPU)244e,250等に接続されている。
演算部としてのCPU260aは、記憶装置260cからの制御プログラムを読み出して実行すると共に、入出力装置260からの操作コマンドの入力等に応じて記憶装置260cからプロセスレシピを読み出すように構成されている。また、受信部285から入力された設定値と、記憶装置121cに記憶されたプロセスレシピや制御データとを比較・演算して、演算データを算出可能に構成されている。また、演算データから対応する処理データ(プロセスレシピ)の決定処理等を実行可能に構成されている。そして、CPU260aは、読み出されたプロセスレシピの内容に沿うように、ゲートバルブ1330,1350,1490(1490a,1490b,1490c,1490d,1490e,1490f,1490g,1490h)の開閉動作、昇降機構218の昇降動作、ヒータ213への電力供給動作、圧力調整器222,226,1620の圧力調整動作、真空ポンプ223(223a,223b,223c,223d)のオンオフ制御、RPU244e,250のガスの活性化動作、バルブ227,228,236,237,243d,244d,245d,246d,247d,248d,249d,1540の開閉制御、整合器251の電力の整合動作、高周波電源252のオンオフ制御等を制御するように構成されている。
なお、コントローラ260は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていても良い。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MOなどの光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)262を用意し、係る外部記憶装置262を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態に係るコントローラ260を構成することができる。なお、コンピュータにプログラムを供給するための手段は、外部記憶装置262を介して供給する場合に限らない。例えば、受信部285やネットワーク263(インターネットや専用回線)等の通信手段を用い、外部記憶装置262を介さずにプログラムを供給するようにしても良い。なお、記憶装置260cや外部記憶装置262は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において、記録媒体という言葉を用いた場合は、記憶装置260c単体のみを含む場合、外部記憶装置262単体のみを含む場合、または、それらの両方を含む場合が有る。
(2)基板処理工程
次に、上述の基板処理装置の処理炉を用いて半導体装置(半導体デバイス)の製造工程の一工程として、基板上に絶縁膜であって、例えばシリコン含有膜としてのシリコン窒化(SiN)膜を成膜するシーケンス例について図14,15を参照して説明する。なお、以下の説明において、基板処理装置を構成する各部の動作はコントローラ260により制御される。
なお、本明細書において、「ウエハ」という言葉を用いた場合には、「ウエハそのもの」を意味する場合や、「ウエハとその表面に形成された所定の層や膜等とその積層体(集合体)」を意味する場合(すなわち、表面に形成された所定の層や膜等を含めてウエハと称する場合)がある。また、本明細書において「ウエハの表面」という言葉を用いた場合は、「ウエハそのものの表面(露出面)」を意味する場合や、「ウエハに形成された所定の層や膜等の表面、すなわち、積層体としてのウエハの最表面」を意味する場合がある。
従って、本明細書において「ウエハに対して所定のガスを供給する」と記載した場合は、「ウエハそのものの表面(露出面)に対して所定のガスを直接供給する」ことを意味する場合や、「ウエハに形成されている層や膜等に対して、すなわち、積層体としてのウエハの最表面に対して所定のガスを供給する」ことを意味する場合が有る。また、本明細書において「ウエハに形成されている層や膜等の上、すなわち、積層体としてのウエハ最表面の上に所定の層(又は膜)を形成する」ことを意味する場合が有る。
なお、本明細書において「基板」という言葉を用いた場合も「ウエハ」という言葉を用いた場合と同様であり、その場合、上記説明において、「ウエハ」を「基板」に置き換えて考えればよい。
以下に、基板処理工程について説明する。
(基板搬入工程S201)
基板処理工程に際しては、先ず、ウエハ200を処理室201に搬入させる。具体的には、基板支持部210を昇降機構218によって下降させ、リフトピン207が貫通孔214から基板支持部210の上面側に突出させた状態にする。また、処理室201内と移載室203内に不活性ガスを供給しつつ、下部容器排気口1481から不活性ガスを排気しつつ、処理室201内と移載室203内を所定の圧力に調圧する。処理室201内と移載室203内の調圧後、ゲートバルブ1490を開放し、ゲートバルブ1490からリフトピン207上にウエハ200を載置させる。ウエハ200をリフトピン207上に載置させた後、昇降機構218によって基板支持部210を所定の位置まで上昇させることによって、ウエハ200が、リフトピン207から基板支持部210へ載置されるようになる。なお、ゲートバルブ1490を開放する前に、第1ガス供給部1500から、ウエハ200がゲートバルブ1490を通過する位置へ不活性ガスの供給を開始させる。なお、好ましくは、このときAPC1620とバルブ227を閉じ、排気口221や、排気口1470から排気させないようにする。この様に構成することによって、図2に記した破線矢印方向へのガス流れを形成することができ、チャンバ100aから真空搬送室1400への逆流を抑制させることができる。
ウエハ200が、基板支持部210に載置され、基板載置台212が図11に示す処理位置に到達した時、バルブ227を開き、排気口221から処理室201内の雰囲気の排気を開始させる。また、このとき、ゲートバルブ1490を閉じた後に、下部容器排気口1481に接続されたバルブ228を閉じ、下部容器202b内の排気を止める。
ゲートバルブ1490aを閉じた後、不活性ガス供給部1500aからの不活性ガスの供給を停止する。なお、他のゲートバルブ1490(1490b,1490c,1490d,1490e,1490f,1490g,1490h),1350が空いている場合は、各ゲートバルブに対応する不活性ガス供給部1500b,1500c,1500d,1500e,1500f,1500g,1500hからの不活性ガス供給を継続させる。
(減圧・昇温工程S202)
続いて、処理室201内が所定の圧力(真空度)となるように、処理室排気管224を介して処理室201内を排気する。この際、圧力センサが測定した圧力値に基づき、圧力調整器222としてのAPCバルブの弁の開度をフィードバック制御する。また、温度センサ(不図示)が検出した温度値に基づき、処理室201内が所定の温度となるようにヒータ213への通電量をフィードバック制御する。具体的には、基板支持部210をヒータ213により予め加熱しておき、ウエハ200又は基板支持部210の温度変化が無くなってから一定時間置く。この間、処理室201内に残留している水分あるいは部材からの脱ガス等が有る場合は、真空排気やNガスの供給によるパージによって除去しても良い。これで成膜プロセス前の準備が完了することになる。なお、処理室201内を所定の圧力に排気する際に、一度、到達可能な真空度まで真空排気しても良い。
(成膜工程S301)
続いて、ウエハ200にSiN膜を成膜する例について説明する。成膜工程S301の詳細について、図14,15を用いて説明する。
ウエハ200が基板支持部210に載置され、処理室201内の雰囲気が安定した後、図14に示す、S203〜S207のステップが行われる。
(第1処理ガス供給工程S203)
第1処理ガス供給工程S203では、第1処理ガス供給部から処理室201内に第1ガス(原料ガス)としてのシリコン含有ガスを供給する。シリコン含有ガスとしては、例えばジクロロシラン(DCS)がある。具体的には、ガスバルブを開き、シリコン含有ガスをガス源からチャンバ100に供給する。その際、処理室側バルブを開き、MFCで所定流量に調整する。流量調整されたシリコン含有ガスは、バッファ空間232を通り、シャワーヘッド234の分散孔234aから、減圧状態の処理室201内に供給される。また、排気系による処理室201内の排気を継続し処理室201内の圧力を所定の圧力範囲(第1圧力)となるように制御する。このとき、ウエハ200に対してシリコン含有ガスが供給されることとなるシリコン含有ガスは、所定の圧力(第1圧力:例えば100Pa以上20000Pa以下)で処理室201内に供給する。このようにして、ウエハ200にシリコン含有ガスを供給する。シリコン含有ガスが供給されることにより、ウエハ200上に、シリコン含有層が形成される。
(第1パージ工程S204)
ウエハ200上にシリコン含有層が形成された後、シリコン含有ガスの供給を停止する。原料ガスを停止することで、処理室201中に存在する原料ガスや、バッファ空間232の中に存在する原料ガスを処理室排気管224から排気されることにより第1パージ工程S204が行われる。
また、パージ工程では、単にガスを排気(真空引き)してガスを排出すること以外に、不活性ガスを供給して、残留ガスを押し出すことによる排出処理を行うように構成しても良い。また、真空引きと不活性ガスの供給を組み合わせて行っても良い。また、真空引きと不活性ガスの供給を交互に行うように構成しても良い。
なお、このとき、シャワーヘッド排気管236の、バルブ237を開き、バッファ空間232内に存在するガスをシャワーヘッド排気管236から排気しても良い。なお、排気中に、圧力調整器227とバルブ237により、シャワーヘッド排気管236とバッファ空間232内の圧力(排気コンダクタンス)を制御する。排気コンダクタンスは、バッファ空間232におけるシャワーヘッド排気管236からの排気コンダクタンスが、処理室201を介した処理室排気管224への排気コンダクタンスよりも高くなるように圧力調整器227とバルブ237を制御しても良い。このように調整することで、バッファ空間232の端部であるガス導入口241からもう一方の端部であるシャワーヘッド排気口240に向けたガス流れが形成される。このようにすることで、バッファ空間232の壁に付着したガスや、バッファ空間232内に浮遊したガスが処理室201に進入することなくシャワーヘッド排気管236から排気できるようになる。なお、処理室201から、バッファ空間232内へのガスの逆流を抑制するようにバッファ空間232内の圧力と処理室201の圧力(排気コンダクタンス)を調整しても良い。
また、第1パージ工程では、真空ポンプ223の動作を継続し、処理室201内に存在するガスを真空ポンプ223から排気する。なお、処理室201から処理室排気管224への排気コンダクタンスが、バッファ空間232への排気コンダクタンスよりも高くなるように圧力調整器227とバルブ237を調整しても良い。このように調整することで、処理室201を経由した処理室排気管224に向けたガス流れが形成され、処理室201内に残留するガスを排気することができる。
所定の時間経過後、不活性ガスの供給を停止すると共に、バルブ237を閉じてバッファ空間232からシャワーヘッド排気管236への流路を遮断する。
より好ましくは、所定時間経過後、真空ポンプ223を引き続き作動させつつ、バルブ237を閉じることが望ましい。このようにすると、処理室201を経由した処理室排気管224に向けた流れがシャワーヘッド排気管236の影響を受けないので、より確実に不活性ガスを基板上に供給することが可能となり、基板上の残留ガスの除去効率を更に向上させることができる。
なお、処理室から雰囲気をパージすることは、単に真空引きしてガスを排出すること以外に、不活性ガスの供給によるガスの押し出し動作も意味する。よって、第1パージ工程で、バッファ空間232内に、不活性ガスを供給して、残留ガスを押し出すことによる排出動作を行うように構成しても良い。また、真空引きと不活性ガスの供給を組み合わせて行っても良い。また、真空引きと不活性ガスの供給を交互に行うように構成しても良い。
また、このとき処理室201内に供給するNガスの流量も大流量とする必要は無く、例えば、処理室201の容積と同程度の量を供給しても良い。この様にパージすることで、次の工程への影響を低減できる。また、処理室201内を完全にパージしないことで、パージ時間を短縮し、製造スループットを向上させることができる。また、Nガスの消費も必要最小限に抑えることが可能となる。
このときのヒータ213の温度は、ウエハ200への原料ガス供給時と同様に200〜750℃、好ましくは300〜600℃、より好ましくは300〜550℃の範囲内の一定の温度となるように設定する。各不活性ガス供給系から供給するパージガスとしてのNガスの供給流量は、それぞれ例えば100〜20000sccmの範囲内の流量とする。パージガスとしては、Nガスの他、Ar,He,Ne,Xe等の希ガスを用いても良い。
(第2処理ガス供給工程S205)
第1ガスパージ工程の後、ガス導入口241、複数の分散孔234aを介して、処理室201内に第2処理ガス(反応ガス)としての、窒素含有ガスを供給する。窒素含有ガスは例えば、アンモニアガス(NH)を用いる例を示す。分散孔234aを介して処理室201に供給するので、基板上に均一にガスを供給することができる。そのため、膜厚を均一にすることができる。なお、第2のガスを供給する際に、活性化部(励起部)としてのリモートプラズマユニット(RPU)を介して、活性化させた第2のガスを処理室201内に供給可能に構成しても良い。
このとき、NHガスの流量が所定の流量となるようにマスフローコントローラを調整する。なお、NHガスの供給流量は、例えば、100sccm以上10000sccm以下である。また、NHガスがRPU内を流れているときは、RPUをON状態(電源が入った状態)とし、NHガスを活性化(励起)させるように制御する。
NHガスが、ウエハ200上に形成されているシリコン含有層に供給されると、シリコン含有層が改質される。例えば、シリコン元素またはシリコン元素を含有する改質層が形成される。なお、RPUを設けて、活性化したNHガスをウエハ200上に供給することによって、より多くの改質層を形成することができる。
改質層は、例えば、処理室201内の圧力、NHガスの流量、ウエハ200の温度、RPUの電力供給具合に応じて、所定の厚さ、所定の分布、シリコン含有層に対する所定の窒素成分等の侵入深さで形成される。
所定の時間経過後、NHガスの供給を停止する。
(第2パージ工程S206)
NHガスの供給を停止することで、処理室201中に存在するNHガスや、第2バッファ空間232の中に存在するNHガスを第1の排気部から排気されることにより第2パージ工程S206が行われる。第2パージ工程S206は上述の第1パージ工程S204と同様の工程が行われる。
第2パージ工程S206では、真空ポンプ223の動作を継続し、処理室201内に存在するガスを処理室排気管224から排気する。なお、処理室201から処理室排気管224への排気コンダクタンスが、バッファ空間232への排気コンダクタンスよりも高くなるように圧力調整器227とバルブ237を調整しても良い。このように調整することで、処理室201を経由した処理室排気管224に向けたガス流れが形成され、処理室201内に残留するガスを排気することができる。また、ここで、不活性ガスを供給することによって、不活性ガスを確実に基板上に供給することが可能となり、基板上の残留ガスの除去効率が高くなる。
所定の時間経過後、不活性ガスの供給を停止すると共に、バルブを閉じてバッファ空間232とシャワーヘッド排気管236の間を遮断する。
より好ましくは、所定時間経過後、真空ポンプ223を引き続き作動させつつ、バルブ237を閉じることが望ましい。このように構成すると、処理室201を経由したシャワーヘッド排気管236に向けた流れが処理室排気管224の影響を受けないので、より確実に不活性ガスを基板上に供給することが可能となり、基板上の残留ガスの除去効率を更に向上させることができる。
なお、処理室から雰囲気をパージすることは、単に真空引きしてガスを排出すること以外に、不活性ガスの供給によるガスの押し出し動作も意味する。また、真空引きと不活性ガスの供給を組み合わせて行っても良い。また、真空引きと不活性ガスの供給を交互に行うように構成しても良い。
また、このとき処理室201内に供給するNガスの流量も大流量とする必要は無く、例えば、処理室201の容積と同程度の量を供給しても良い。この様にパージすることで、次の工程への影響を低減できる。また、処理室201内を完全にパージしないことで、パージ時間を短縮し、製造スループットを向上させることができる。また、Nガスの消費も必要最小限に抑えることが可能となる。
このときのヒータ213の温度は、ウエハ200への原料ガス供給時と同様に200〜750℃、好ましくは300〜600℃、より好ましくは300〜550℃の範囲内の一定の温度となるように設定する。各不活性ガス供給系から供給するパージガスとしてのNガスの供給流量は、それぞれ例えば100〜20000sccmの範囲内の流量とする。パージガスとしては、Nガスの他、Ar,He,Ne,Xe等の希ガスを用いても良い。
(判定工程S207)
第1パージ工程S206の終了後、コントローラ260は、上記の成膜工程S301の内、S203〜S206が所定のサイクル数nが実行されたか否かを判定する(nは自然数)。即ち、ウエハ200上に所望の厚さの膜が形成されたか否かを判定する。上述したステップS203〜S206を1サイクルとして、このサイクルを少なくとも1回以上行う(ステップS207)ことにより、ウエハ200上に所定膜厚のシリコンおよび酸素を含む絶縁膜、すなわち、SiO膜を成膜することができる。なお、上述のサイクルは、複数回繰返すことが好ましい。これにより、ウエハ200上に所定膜厚のSiO膜が形成される。
所定回数実施されていないとき(No判定のとき)は、S203〜S206のサイクルを繰り返す。所定回数実施されたとき(Y判定のとき)は、成膜工程S301を終了し、搬送圧力調整工程S208と基板搬出工程S209を実行する。
(搬送圧力調整工程S208)
搬送圧力調整工程S208では、処理室201内や移載室203が所定の圧力(真空度)となるように、処理室201内に不活性ガスを供給しつつ、処理室排気管224を介して処理室201内を排気する。また、バルブ228を開き、下部容器排気口1481から移載室203内を排気する。この時の処理室201内や移載室203内の圧力は、真空搬送室1400内の圧力よりも低くなる様に調整される。
(基板搬出工程S209)
搬送圧力調整工程S208で処理室201内が所定圧力になった後、基板支持部210を昇降機構218によって下降させ、リフトピン207が貫通孔214から基板支持部210の上面側に突出させ、ウエハ200をリフトピン207に載せた状態にする。また、基板支持部210の下降開始後に、バルブ227を閉じ、処理室排気管224からの排気を止め、ガス導入口241から供給される不活性ガスが、下部容器排気口1481へ排気される様なガス流れを形成させる。なお、ウエハ200を搬出する前に、ウエハ200の温度が所定温度まで下がるまでリフトピン207で支持した状態で待機させても良い。
なお、ウエハ200をリフトピン207上で冷却させる間は、処理室201内の圧力と移載室203内の圧力を、真空搬送室1400内の圧力や、上述の成膜工程時の圧力と同程度の圧力まで上昇させても良い。圧力を上げることによって、冷却時間を短縮させることができる。冷却後、処理室201内の圧力と移載室203内の圧力は、真空搬送室1400内の圧力よりも低くなるように調圧される。
上記のガス流れが形成された後、真空搬送室1400の第1ガス供給部から、ゲートバルブ1490の開口付近のウエハ200が通過する位置に向けて不活性ガスの供給を開始させる。不活性ガスの供給を開始させた後、ゲートバルブ1490を開き、リフトピン207上のウエハ200を、真空搬送室1400に、搬送させる。ウエハ200が真空搬送室1400に入った後、ゲートバルブ1490を閉じると共に、APC1620を開き、真空搬送室1400内の雰囲気を、ガス排気部1600から排気させる。このようにすることで、例え真空搬送室1400内の雰囲気に異物が混入したとしても、処理室201を介さずに排気することができる。すなわち、処理室201内への異物進入を抑制することができる。
なお、基板支持部210を図11の破線で記した搬送位置に移動させる前に、以下の動作を行わせる様に構成しても良い。ガス導入孔241から移載室203を介して下部容器排気口1481へ不活性ガスを供給し、処理室201の圧力>真空搬送室1400の圧力>移載室203の圧力の関係となる様に各室の圧力調整を行う。圧力調整後、第1ガス供給部からゲートバルブ1490開口付近のウエハ200が通過する位置に不活性ガスを供給する。不活性ガスの供給開始後、ゲートバルブ1490を開き、ゲートバルブ1490から、第1ガス供給部から供給される不活性ガスが、下部容器排気口1481へのガス流れを形成する。その後、基板支持部210を搬送位置に搬送させる。基板支持部210を搬送位置に搬送後、真空搬送ロボット1700でウエハ200を真空搬送室1400に搬送させる。この様な動作を行うことによって、移載室203内と処理室201内に存在するガスが、真空搬送室1400に流れこむことを抑制することができる。また、この様に段階的に各室を接続させていくことによって、各室の圧力差によるガス拡散を抑制することができる。
この様な工程で、ウエハ200への処理が行われる。
(3)本実施形態に係る効果
本実施形態によれば、以下(A)〜(D)のいずれか一つ以上の効果を奏する。
(A)第1ガス供給部1500を設けて、GVの開口付近のウエハ200が通過する位置に不活性ガスを供給し、下部容器排気口1481から不活性ガスを排気することで、チャンバ100内に存在する副生成物やパーティクルが、真空搬送室1400に流れ込むことを抑制することができる。
(B)また、一つのチャンバの雰囲気やパーティクルが、他のチャンバに入り込むことを抑制させることができる。
(C)アームに設けられる2つのエンドエフェクタの高さが異なる場合に、第1ガス供給部1500に設けられる2つのガス供給孔に供給する不活性ガスの流量を異ならせることによって、チャンバ間のガス移動(ガス拡散)を抑制させることができる。
(D)第1ガス供給孔1460の下端とウエハ200の表面との間の距離Dと、第1ガス供給孔1460の下端とウエハ200が対向する面のウエハ200の径方向の長さLとの関係を、D<Lとすることによって、ウエハ200の表面に付着している処理ガス,反応ガス,副生成物,パーティクルのいずれか若しくは2つ以上を脱離させて、真空搬送室1400への進入を抑制させることができる。
(E)第1ガス供給孔1460と上側アーム1800が保持しているウエハ200との距離D1が、第1ガス供給孔1460と下側アーム1900が保持しているウエハ200との距離D2よりも短い場合に、不活性ガスの供給量をD1の時よりもD2の時に多くすることによって、チャンバ100内の雰囲気が、真空搬送室1400内に流れ込むことを抑制させることができる。
<他の実施形態>
図16,17に他の実施形態を示す。図16,17では、第1ガス供給部のガス供給孔1460a,1460bの間に、第2ガス供給部1462aが設けられている。この第2ガス供給部よって、チャンバ100aとチャンバ100bとの間のガス移動(ガス拡散)を抑制することができる。
また、好ましくは、第2ガス供給部1462aのガス供給孔1463aは、第1ガス供給部の第1ガス供給孔1460よりも搬送室1400の中央に向かって突き出す様に構成される。この様に構成することによって、チャンバ100aとチャンバ100bとの間のガス移動を抑制させることができる。
また、好ましくは、各ガス供給孔から供給される不活性ガスの流量は、1463a>1460a≒1460bとすることが好ましい。上述の様に、2つのエンドエフェクタの高さが異なる場合には、1463a>1460b>1460aとなる様に構成する。この様なガス流量の関係に構成することによって、チャンバ100aとチャンバ100bとの間のガス移動や、チャンバ100から搬送室1400へのガス移動を抑制させることができる。
なお、第2ガス供給部1462aに、ガス供給孔1463aを設けずに、ガスガイドとしても良い。
なお、上述では、真空搬送室と移載室との間での基板搬送について記したが、ロードロック室1300と真空搬送室1400との間での基板搬送であっても良く、ロードロック室と大気搬送室1200との間での基板搬送であっても良い。また、真空搬送室1400またはロードロック室1300が無く、大気搬送室1200から移載室に直接搬送する様に構成された基板処理システムであっても同様の効果を奏することができる。上述の様に、真空雰囲気下で搬送することによって、パーティクルや、各チャンバの雰囲気の拡散を抑制することができる。
また、上述では、原料ガスと反応ガスを交互に供給して成膜する方法について記したが、原料ガスと反応ガスの気相反応量や副生成物の発生量が許容範囲内であれば、他の方法にも適用可能である。例えば、原料ガスと反応ガスの供給タイミングが重なる様な方法である。
また、上述では、成膜処理について記したが、他の処理にも適用可能である。例えば、拡散処理、酸化処理、窒化処理、酸窒化処理、還元処理、酸化還元処理、エッチング処理、加熱処理などが有る。例えば、反応ガスのみを用いて、基板表面や基板に形成された膜をプラズマ酸化処理や、プラズマ窒化処理する際にも本発明を適用することができる。また、反応ガスのみを用いたプラズマアニール処理にも適用することができる。
また、上述では、半導体装置の製造工程について記したが、実施形態に係る発明は、半導体装置の製造工程以外にも適用可能である。例えば、液晶デバイスの製造工程、太陽電池の製造工程、発光デバイスの製造工程、ガラス基板の処理工程、セラミック基板の処理工程、導電性基板の処理工程、などの基板処理が有る。
また、上述では、原料ガスとしてシリコン含有ガス、反応ガスとして窒素含有ガスを用いて、シリコン窒化膜を形成する例を示したが、他のガスを用いた成膜にも適用可能である。例えば、酸素含有膜、窒素含有膜、炭素含有膜、ホウ素含有膜、金属含有膜とこれらの元素が複数含有した膜等が有る。なお、これらの膜としては、例えば、SiN膜、AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜などが有る。
また、プロセスモジュール内に、設けられるチャンバは、一つでも複数でも構わない。プロセスモジュール内に複数のチャンバが設けられた場合、プロセスモジュールの熱容量が大きくなるため、一つ以上のプロセスモジュールをメンテナンスする場合の影響が大きくなる。
また、上述では、一つの処理室で一枚の基板を処理する装置構成を示したが、これに限らず、複数枚の基板を水平方向又は垂直方向に並べた装置であっても良い。
100 チャンバ
110 プロセスモジュール
200 ウエハ(基板)
201 処理室 (処理空間)
202 処理容器
203 移載室
212 基板載置台
232 バッファ空間
234 シャワーヘッド
1000 基板処理システム
1400 真空搬送室

Claims (11)

  1. 基板を処理する複数の処理室と、
    前記基板を搬送する搬送室と、
    前記搬送室と前記処理室との間に設けられ、前記処理室のそれぞれに対応する複数の移載室と、
    前記搬送室と前記移載室との間に設けられた複数のゲートバルブと、
    前記搬送室に設けられ、前記複数のゲートバルブを通過する位置の基板それぞれに対して不活性ガスを供給する複数の第1ガス供給部と、
    前記搬送室内に設けられ、前記基板を前記移載室に搬送する搬送ロボットと、
    前記第1ガス供給部の供給孔と前記ゲートバルブを通過する基板との距離が第1距離の時に第1流量で前記不活性ガスを供給し、前記距離が第1距離よりも長い第2距離の時に第1流量よりも多い第2流量で前記不活性ガスを供給する様に前記複数の第1ガス供給部と前記搬送ロボットを制御する制御部と、
    を有する基板処理装置。
  2. 前記搬送ロボットは前記基板を少なくとも2枚水平方向に異なる高さで保持可能なエンドエフェクタを有し、
    前記制御部は、
    前記エンドエフェクタが保持している基板それぞれに、異なる流量で前記不活性ガスを供給するように前記第1ガス供給部を制御する請求項1に記載の基板処理装置。
  3. 前記複数の処理室は隣り合うように連結され、
    前記複数の第1ガス供給部の間に、第2ガス供給部を有し、
    前記制御部は、
    前記第2供給部は、前記第1ガス供給部から供給される不活性ガスの流量よりも多くなる様に前記第1ガス供給部と前記第2ガス供給部とを制御する請求項1または2に記載の基板処理装置。
  4. 前記2つの第1ガス供給部の間に、当該第1ガス供給部よりも前記搬送ロボット側に突き出した、第1のガスガイドを有する請求項1または2に記載の基板処理装置。
  5. 前記搬送ロボットは、異なる高さで基板を搬送する2つのアームを有する請求項1に記載の基板処理装置。
  6. 前記第1ガス供給部のガス供給孔の下端は、前記ゲートバルブの開口上端の高さに構成される請求項1乃至5のいずれか一項に記載の基板処理装置。
  7. 前記搬送室内であって、前記第1ガス供給部と対向し、前記ゲートバルブ開口の下端の高さの位置にガスガイドが設けられる請求項1乃至6のいずれか一項に記載の基板処理装置。
  8. 搬送室に設けられた搬送ロボットによって複数の処理室のそれぞれに、前記処理室に対応した移載室を介して基板を搬送する工程と、
    前記処理室に搬送された基板に処理ガスを供給する工程と、
    前記基板に供給され処理ガスを排気する工程と、を有し
    前記前記基板を搬送する工程では、
    前記搬送室と前記移載室との間に設けられたゲートバルブを通過する基板と当該基板に不活性ガスを供給する第1ガス供給部の供給孔との距離が第1距離の状態で前記基板が通過する際に、第1の流量で不活性ガスを前記基板に供給する工程と、
    前記距離が前記第1距離よりも長い第2距離の状態で前記基板が通過する際に、前記第1流量よりも多い第2流量で前記不活性ガスを前記基板に供給する工程と、
    を有する半導体装置の製造方法。
  9. 前記基板を搬送する工程で、
    前記搬送ロボットは、2つ以上の基板を保持し、当該基板を2つ以上の前記処理室にそれぞれ搬送し、
    前記2つ以上の処理室の内、一つの処理室に搬送する基板に、前記第1流量で前記不活性ガスを供給し、
    前記2つ以上の処理室の内、他の処理室に搬送される基板に前記第2流量で前記不活性ガスを供給する工程を有する
    請求項8に記載の半導体装置の製造方法。
  10. 搬送室に設けられた搬送ロボットによって複数の処理室のそれぞれに、前記処理室に対応した移載室を介して基板を搬送させる手順と、
    前記処理室に搬送された基板に処理ガスを供給させる手順と、
    前記基板に供給され処理ガスを排気させる手順と、を有し
    前記前記基板を搬送させる手順では、
    前記搬送室と前記移載室との間に設けられたゲートバルブを通過する基板と当該基板に不活性ガスを供給する第1ガス供給部の供給孔との距離が第1距離の状態で前記基板が通過する際に、第1の流量で不活性ガスを前記基板に供給させる手順と、
    前記距離が前記第1距離よりも長い第2距離の状態で前記基板が通過する際に、前記第1流量よりも多い第2流量で前記不活性ガスを前記基板に供給させる手順と、
    をコンピュータによって基板処理装置に実行させるプログラム。
  11. 前記基板を搬送させる手順で、
    前記搬送ロボットは、2つ以上の基板を保持し、当該基板を2つ以上の前記処理室にそれぞれ搬送し、
    前記2つ以上の処理室の内、一つの処理室に搬送する基板に、前記第1流量で前記不活性ガスを供給し、
    前記2つ以上の処理室の内、他の処理室に搬送される基板に前記第2流量で前記不活性ガスを供給させる手順と、
    を有する請求項10に記載のプログラム。
JP2016040011A 2016-03-02 2016-03-02 基板処理装置、半導体装置の製造方法及びプログラム Active JP6240695B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2016040011A JP6240695B2 (ja) 2016-03-02 2016-03-02 基板処理装置、半導体装置の製造方法及びプログラム
KR1020170025183A KR101929857B1 (ko) 2016-03-02 2017-02-27 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN201710110698.6A CN107154370B (zh) 2016-03-02 2017-02-28 衬底处理装置、半导体器件的制造方法
US15/444,878 US10184177B2 (en) 2016-03-02 2017-02-28 Substrate processing apparatus capable of adjusting flow rate of inert gas supplied to substrate
TW106106672A TWI633578B (zh) 2016-03-02 2017-03-01 Substrate processing apparatus, manufacturing method and program of semiconductor device
US16/141,563 US10914005B2 (en) 2016-03-02 2018-09-25 Substrate processing apparatus having gas guide capable of suppressing gas diffusion
KR1020180155656A KR102035294B1 (ko) 2016-03-02 2018-12-06 기판 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016040011A JP6240695B2 (ja) 2016-03-02 2016-03-02 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
JP2017157705A true JP2017157705A (ja) 2017-09-07
JP6240695B2 JP6240695B2 (ja) 2017-11-29

Family

ID=59723257

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016040011A Active JP6240695B2 (ja) 2016-03-02 2016-03-02 基板処理装置、半導体装置の製造方法及びプログラム

Country Status (5)

Country Link
US (2) US10184177B2 (ja)
JP (1) JP6240695B2 (ja)
KR (2) KR101929857B1 (ja)
CN (1) CN107154370B (ja)
TW (1) TWI633578B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019210550A (ja) * 2018-06-08 2019-12-12 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 気相化学反応器およびその使用方法
JP2022111443A (ja) * 2021-01-20 2022-08-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2024101354A1 (ja) * 2022-11-07 2024-05-16 東京エレクトロン株式会社 基板搬送システムおよび基板位置調整方法

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
JP6613276B2 (ja) * 2017-09-22 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、記録媒体および基板処理装置
TWI709163B (zh) * 2017-09-26 2020-11-01 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及程式
JP6951923B2 (ja) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN109994358B (zh) * 2017-12-29 2021-04-27 中微半导体设备(上海)股份有限公司 一种等离子处理系统和等离子处理系统的运行方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
CN108364862B (zh) 2018-02-23 2021-05-14 京东方科技集团股份有限公司 一种离子注入设备及其离子注入方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) * 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN110923659B (zh) * 2018-09-20 2022-07-08 东京毅力科创株式会社 成膜方法及基板处理系统
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
TWI762068B (zh) * 2020-12-07 2022-04-21 創意電子股份有限公司 測試設備、其元件搬運裝置及測試設備之測試方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022119452A (ja) * 2021-02-04 2022-08-17 住友重機械工業株式会社 処理装置
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
US20220344190A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Air curtain for defect reduction
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP7357660B2 (ja) * 2021-07-09 2023-10-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013236033A (ja) * 2012-05-11 2013-11-21 Hitachi High-Technologies Corp 真空処理装置及び試料の搬送方法
JP2014208883A (ja) * 2013-03-28 2014-11-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5433780A (en) * 1992-11-20 1995-07-18 Tokyo Electron Limited Vacuum processing apparatus and exhaust system that prevents particle contamination
WO1996025760A1 (fr) * 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
KR100462237B1 (ko) 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
JP4841035B2 (ja) 2000-11-27 2011-12-21 東京エレクトロン株式会社 真空処理装置
JP2003007799A (ja) 2001-06-21 2003-01-10 Tokyo Electron Ltd 処理システム
JP3955592B2 (ja) 2004-11-19 2007-08-08 住友重機械工業株式会社 処理装置及び処理方法
US8794896B2 (en) * 2005-12-14 2014-08-05 Tokyo Electron Limited Vacuum processing apparatus and zonal airflow generating unit
JP4584821B2 (ja) 2005-12-14 2010-11-24 東京エレクトロン株式会社 真空処理装置及び帯状気流形成装置
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
WO2007101228A2 (en) * 2006-02-27 2007-09-07 Blueshift Technologies, Inc. Semiconductor wafer handling and transport
US20080230096A1 (en) * 2007-03-22 2008-09-25 Tokyo Electron Limited Substrate cleaning device and substrate processing apparatus
JP4985031B2 (ja) * 2007-03-29 2012-07-25 東京エレクトロン株式会社 真空処理装置、真空処理装置の運転方法及び記憶媒体
CN101688303B (zh) * 2007-09-03 2012-06-20 东京毅力科创株式会社 真空处理系统
JP5028193B2 (ja) * 2007-09-05 2012-09-19 株式会社日立ハイテクノロジーズ 半導体製造装置における被処理体の搬送方法
JP2009135433A (ja) 2007-11-05 2009-06-18 Hitachi Kokusai Electric Inc 基板処理装置
US20090114346A1 (en) 2007-11-05 2009-05-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5575507B2 (ja) 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP2011054998A (ja) 2010-11-30 2011-03-17 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104674190B (zh) * 2013-11-28 2017-10-20 中微半导体设备(上海)有限公司 一种半导体处理装置及应用于半导体处理装置的气体分布板
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013236033A (ja) * 2012-05-11 2013-11-21 Hitachi High-Technologies Corp 真空処理装置及び試料の搬送方法
JP2014208883A (ja) * 2013-03-28 2014-11-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019210550A (ja) * 2018-06-08 2019-12-12 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 気相化学反応器およびその使用方法
JP2022111443A (ja) * 2021-01-20 2022-08-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP7154325B2 (ja) 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
WO2024101354A1 (ja) * 2022-11-07 2024-05-16 東京エレクトロン株式会社 基板搬送システムおよび基板位置調整方法

Also Published As

Publication number Publication date
KR102035294B1 (ko) 2019-11-08
US20190032213A1 (en) 2019-01-31
KR101929857B1 (ko) 2019-03-14
TWI633578B (zh) 2018-08-21
US10914005B2 (en) 2021-02-09
KR20180133356A (ko) 2018-12-14
JP6240695B2 (ja) 2017-11-29
CN107154370A (zh) 2017-09-12
US10184177B2 (en) 2019-01-22
KR20170102812A (ko) 2017-09-12
TW201810362A (zh) 2018-03-16
CN107154370B (zh) 2021-03-23
US20170253968A1 (en) 2017-09-07

Similar Documents

Publication Publication Date Title
JP6240695B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5947435B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6368732B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6089082B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6318139B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR101880516B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6000665B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6240712B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2019169663A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6956147B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7191910B2 (ja) 基板処理システム、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171024

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171106

R150 Certificate of patent or registration of utility model

Ref document number: 6240695

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250