TWI709163B - 基板處理裝置、半導體裝置之製造方法及程式 - Google Patents

基板處理裝置、半導體裝置之製造方法及程式 Download PDF

Info

Publication number
TWI709163B
TWI709163B TW107130996A TW107130996A TWI709163B TW I709163 B TWI709163 B TW I709163B TW 107130996 A TW107130996 A TW 107130996A TW 107130996 A TW107130996 A TW 107130996A TW I709163 B TWI709163 B TW I709163B
Authority
TW
Taiwan
Prior art keywords
transfer chamber
substrate
transfer
chamber
mode
Prior art date
Application number
TW107130996A
Other languages
English (en)
Other versions
TW201916107A (zh
Inventor
林昭成
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW201916107A publication Critical patent/TW201916107A/zh
Application granted granted Critical
Publication of TWI709163B publication Critical patent/TWI709163B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline

Abstract

本發明提供能夠降低沖洗移載室的惰性氣體的消耗量的技術。在利用移載機從基板收容器向基板支撐件之基板搬入結束而關閉閘門後,直至為了將處理完畢的基板從基板支撐件搬出至基板收容器而再次打開閘門之間的至少一部分期間內,惰性氣體供給器供給惰性氣體,在上述期間外,惰性氣體供給器不供給惰性氣體。清潔單元構成為可切換單通模式和循環模式,其中,該單通模式是將從裝置外獲取到的空氣清潔化而供給至移載室,並且將剩餘的空氣排出至裝置外的模式,該循環模式是將移載室內的環境氣體吸引並清潔化而供給至移載室的模式,在閘門打開的期間,藉由空氣即環境氣體而將移載室保持為正壓,並使空氣從移載室中向外流出。

Description

基板處理裝置、半導體裝置之製造方法及程式
本發明關於基板處理裝置、半導體裝置的製造方法以及程式。
在基板處理裝置設有用於將收納於匣盒的基板(晶圓)向基板保持件(晶舟)移載的移載室。移載室例如藉由氮氣般之惰性氣體而沖洗,抑制在晶圓上生成自然氧化膜。
作為用惰性氣體沖洗基板處理裝置的移載室的技術,具有日本專利特開2003-7802號公報(專利文獻1)、特開2007-95879號公報(專利文獻2)、特開2009-65113號公報(專利文獻3)等。
在用氮氣般之惰性氣體沖洗移載室的情況下,具有希望削減氮氣的消耗量的需求。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2003-7802號公報
[專利文獻2]日本專利特開2007-95879號公報
[專利文獻3]日本專利特開2009-65113號公報
本發明的課題在於提供能夠降低沖洗基板處理裝置的移載室的如氮氣般之惰性氣體的消耗量的技術。
其它的課題和新的特徵係根據本說明書的記載及所附圖式而將變得明瞭。
若簡單地說明本發明中的代表性的技術的概要,則如下所述。
根據本發明的一態樣,提供一種技術及使用該技術的方法,其具備:反應室,其將基板以載置於基板支撐件的狀態下進行處理;移載室,其與上述反應室連接,配置有從上述反應室取出的上述基板支撐件;閘門,其對為了使上述基板在上述移載室的內外移動而設於上述移載室的開口進行開閉;緩衝架,其在上述移載室的外側面向上述開口而設置,以保持基板收容器;移載機,其在上述緩衝架的上述基板收容器與上述基板支撐件之間搬送上述基板;清潔單元,其向上述移載室供給清潔的環境氣體;以及惰性氣體供給器,其向上述移載室供給惰性氣體;在完成由上述移載機進行的從上述基板收容器朝上述基板支撐件的上述基板的搬入而關閉上述閘門之後,直至為了將處理完畢的上述基板從上述基板支撐件朝上述基板收容器搬出而再次打開上述閘門之間的至少一部分期間內,上述惰性氣體供給器供給上述惰性氣體,在上述期間外,上述惰性氣體供給器不供給上述惰性氣體,上述清潔單元構成為能夠切換單通模式和循環模式,其中,上述單通模式是將從裝置外獲取到的空氣清潔化而供給至上述移載室,並且將剩餘的空氣排出至 裝置外的模式,上述循環模式是將上述移載室內的環境氣體吸引而清潔化以供給至上述移載室的模式,在上述閘門打開的期間,藉由空氣即環境氣體而將上述移載室保持為正壓,並且使空氣從上述移載室中向外流出。
根據本發明,能夠降低沖洗移載室的如氮氣般之惰性氣體的消耗量。
1‧‧‧晶圓
2‧‧‧匣盒(基板收容器(開放式匣盒))
10‧‧‧基板處理裝置
10a‧‧‧空氣吸氣孔
11‧‧‧框體
12‧‧‧匣盒收送單元(裝載埠轉移器)
13‧‧‧匣盒平台
14‧‧‧晶圓姿勢矯正裝置
15‧‧‧匣盒架(緩衝架)
16‧‧‧預備匣盒架(緩衝架)
17‧‧‧匣盒移載裝置
18‧‧‧機械臂
19‧‧‧晶圓移載裝置(移載機)
20‧‧‧晶圓保持部
21‧‧‧晶圓保持板
22‧‧‧晶舟升降機
23‧‧‧臂
24‧‧‧密封蓋
25‧‧‧基板支撐件(晶舟)
26、27‧‧‧端板
28‧‧‧爐口擋板
31‧‧‧處理管(反應管)
32‧‧‧處理室(反應室)
33‧‧‧爐口
34‧‧‧加熱器
35‧‧‧氣體供給管
36‧‧‧排氣管
37‧‧‧隔熱蓋部
38‧‧‧密封圈
39‧‧‧旋轉軸
40‧‧‧旋轉驅動裝置(旋轉機構)
50‧‧‧移載室
50a‧‧‧清潔單元
50b‧‧‧背面排氣風扇(後風扇)
60‧‧‧匣盒保持室
60a‧‧‧清潔單元
60c‧‧‧架下排氣風扇
70‧‧‧壁部
71‧‧‧開口
72‧‧‧閘門
72a‧‧‧閘門打開的狀態
121‧‧‧控制器
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧儲存裝置
121d‧‧‧I/O埠
122‧‧‧輸入輸出裝置
123‧‧‧外部儲存裝置
501‧‧‧進氣口
502‧‧‧排氣節流閥
503‧‧‧後排氣節流閥
504‧‧‧排氣盒
505‧‧‧排氣管
506‧‧‧循環節流閥
507‧‧‧散熱器(熱交換器)
508‧‧‧局部排氣管
509‧‧‧循環管
511‧‧‧側風扇
600‧‧‧惰性氣體吸氣孔
601‧‧‧惰性氣體供給器
ar‧‧‧清潔空氣(大氣)之流向
圖1是用於說明實施形態的基板處理裝置的圖。
圖2是用於說明實施形態的基板處理裝置的移載室與匣盒保持室之間的構成的圖。
圖3是用於說明實施形態的基板處理裝置的反應管的縱剖視圖。
圖4是實施形態中的基板處理裝置的控制器的概略構成圖,其係以方塊圖表示控制器的控制系統的圖。
圖5是表示處理流程的圖,該處理流程表示實施形態的基板處理方法。
圖6(A)至(F)是用於說明移載室和匣盒保持室的狀態的圖。
圖7(A)至(D)是用於說明移載室和匣盒保持室的狀態的圖。
圖8是用於說明通向移載室的氮氣的流量的圖。
圖9是用於說明實施形態的基板處理裝置的大氣環境氣體的示意性的圖。
圖10是示意性地表示移載室的環境氣體的控制構成的圖。
圖11是用於說明移載室的環境氣體的控制的圖。
圖12是示意性地表示大氣環境氣體的循環模式下的移載室內的大氣的流動的圖。
圖13是示意性地表示大氣環境氣體的單通模式下的移載室內的大氣的流動的圖。
圖14是示意性地表示N2沖洗的循環模式下的移載室內的氮氣的流動的圖。
圖15是示意性地表示從N2環境氣體向大氣環境氣體恢復時的氣體的流動的圖。
以下,使用所附圖式,對實施形態進行說明。然而,在以下的說明中,有時對相同構成要素標註相同符號,並省略重複的說明。此外,為了更明確地進行說明,所附圖式相較於實際形態,有時示意性地表現各部分的寬度、厚度、形狀等,但其僅為其中一例,並非為用以限定本發明的解釋者。
<實施形態> (1)基板處理裝置
以下,參照所附圖式,對本發明的實施形態的基板處理裝置進行說明。本實施形態中,基板處理裝置(以下,簡稱為處理裝置)作為一例,構成為實施半導體元件的製造方法中的處理步驟的半導體製造裝置。在以下的實施形態中,對作為基板處理裝置而應用了對基板進行CVD(chemical vapor deposition,化學氣相沉積)等成膜處理的批次式縱型熱處理裝置的情況進行敘述。
以下,使用圖1、圖2以及圖3,對處理裝置的構成進行說明。
如圖1所示,實施形態的處理裝置10具備框體11,在框體11的前表面設有匣盒收送單元12。匣盒收送單元12具備能夠藉由晶圓1的搬送器載置兩台基板收容器(開放式匣盒,以下稱為匣盒)2的匣盒平台13,在匣盒平台13的下方設有兩組晶圓姿勢矯正裝置14。再者,基板收容器不限於開放式匣盒,也可以使用SMIF(Standard Mechanical Interface,標準機械介面)、FOUP(Front Open Unified Pod,前開式晶圓盒)。
當藉由外部搬送裝置(未圖示)搬送來的匣盒2以垂直姿勢(收納於匣盒2的晶圓1成為垂直的狀態)載置於匣盒平台13時,晶圓姿勢矯正裝置14以使收納於匣盒2的晶圓1的凹口、定向平面相同的方式矯正晶圓1的姿勢。匣盒平台13旋轉90度,藉此使匣盒2成為水平姿勢。在框體11的內部與匣盒收送單元12對向地設有匣盒架15,在匣盒收送單元12的上方設有預備匣盒架16。
在匣盒收送單元12與匣盒架15之間設有匣盒移載裝置17。匣盒移載裝置17具備能夠沿前後方向進退的機械臂18,機械臂18構成為能夠橫向移動及升降。機械臂18藉由進退(前後)、升降以及橫向移動的運動,將匣盒平台13上的成為水平姿勢的匣盒2朝匣盒架15或預備匣盒架16搬送移載。匣盒架15及預備匣盒架16亦能夠視作複數個匣盒2的緩衝架。
在匣盒架15的後方,能夠旋轉及升降地設有晶圓移載裝置(移載機)19,該晶圓移載裝置19能夠將匣盒2內的晶圓1以複數片一起或者以每一片的方式移載至基板支撐件(以下,稱為 晶舟)25。晶圓移載裝置19具備能夠進退的晶圓保持部20,在晶圓保持部20水平地安裝有複數片晶圓保持板21。在晶圓移載裝置19的後方設有晶舟升降機22,在晶舟升降機22的臂23水平地設置有能夠旋轉地保持晶舟25的密封蓋24。
(1-2)匣盒保持室和移載室
如圖1所示,處理裝置10具有移載室50和匣盒保持室60。在移載室50設置有晶圓移載裝置19、晶圓保持部20、晶圓保持板21以及晶舟升降機22等。在匣盒保持室60設置有匣盒架15、預備匣盒架16以及匣盒移載裝置17等。在移載室50與匣盒保持室60之間設有壁部70。雖然在圖1中為了簡化圖式而未記載,但是如圖2所示,壁部70具有開口71,而且設有能夠開閉開口71的閘門72。開口71設置為與位於晶圓移載裝置19能夠移載晶圓的位置(通常是最靠近晶圓移載裝置19的位置)的一個至複數個匣盒對應,其上端及下端的高度能夠與下降後的晶舟25的上端及下端大致相等。例如,相對於搭載150片產品晶圓的晶舟,成為三層架的量的高度的開口。閘門72具有板及其驅動機構,例如,板在從開口浮起的狀態下向左右或前後滑動,藉此而進行打開/關閉。亦即,板在打開時,只要收納於不與匣盒移載裝置17的運動範圍干涉的位置即可。另外,雖然能與各個匣盒對應地個別設置複數個開口,但是閘門72只要具備一個將該等統一地開閉的閘門即可。壁部70及閘門72的板並不需要可承受壓力差的強度,其倒不如可以不與匣盒移載裝置17接觸的方式設計為薄型。晶圓移載裝置(移載機)19在閘門72打開的狀態(72a)下,經由開口71從匣盒保持室60內的 匣盒2將晶圓1朝晶舟25移載。在閘門72關閉的狀態下,開口71閉合,移載室50和匣盒保持室60成為隔離的狀態。因此,移載室50內的環境氣體能夠設成與匣盒保持室60為不同的環境氣體。關於移載室50內的環境氣體和匣盒保持室60的環境氣體,將在後面詳細說明。
(1-3)處理爐
如圖3所示,處理裝置10具備使用石英玻璃等耐熱性高的材料形成為一端開口且另一端堵塞的圓筒形狀的反應管(處理管)31,反應管31以中心線垂直的方式縱向排列並固定地被支撐。反應管31的筒中空部形成收容複數片晶圓1的處理室32,反應管31的下端開口形成用於使晶圓1出入的爐口33。爐口33相對於移載室50開口,處理室32和移載室50相連。在反應管31的外部,用於對處理室32以遍及整體的方式均勻地進行加熱的加熱器34以包圍反應管31的周圍的方式呈同心圓地設置,加熱器34支撐於處理裝置10的框體11,藉此而成為垂直安裝的狀態。
在反應管31的爐口33的附近的側面的一部分連接有用於供給處理氣體的氣體供給管35的一端,氣體供給管35的另一端連接於供給處理氣體的氣體供給源(未圖示)。在反應管31的爐口33的附近的側壁的與氣體供給管35的相反側係構成為,連接有排氣管36的一端,排氣管36的另一端連接於排氣裝置(未圖示),而能對處理室32排氣。堵塞爐口33的密封蓋24從垂直方向下側夾著密封圈38而抵接於反應管31的下端面。密封蓋24形成為圓盤形狀,且構成為藉由設於反應管31的外部的晶舟升降機22而沿垂 直方向升降。另外,可以設置當密封蓋24朝下端的位置移動時封閉爐口33的爐口擋板28(未圖示)。在密封蓋24的中心線上,插通有旋轉軸39,旋轉軸39與密封蓋24一同升降,而且藉由旋轉驅動裝置40而旋轉。
用於保持作為被處理基板的晶圓1的晶舟25經由隔熱蓋部37而垂直地豎立支撐於旋轉軸39的上端。晶舟25具備上下一對端板26、27和架設於兩端板26、27間且垂直配設的複數根(本實施形態中為三根)保持構件(柱),在各保持構件,多條保持槽沿長邊方向等間隔配置,且以相互對向開口的方式沒入設置。於是,藉由將晶圓1的外周邊緣分別插入於各保持構件的多條保持槽間,複數片晶圓1水平且相互對齊中心地排列保持於晶舟25。在晶舟25的下側端板27的下表面形成有隔熱蓋部37,隔熱蓋部37的下端面支撐於旋轉軸39。
(1-4)控制器
圖4表示處理裝置10具備的控制器121的方塊圖。控制器121構成為具備CPU(Central Processing Unit,中央處理器)121a、RAM(Random Access Memory,隨機存取記憶體)121b、儲存裝置121c、I/O埠121d的電腦。RAM121b、儲存裝置121c、I/O埠121d構成為能夠經由內部匯流排而與CPU121a通信。在控制器121連接有例如構成為觸控面板等的輸入輸出裝置122、或外部儲存裝置123。
儲存裝置121c例如由快閃記憶體、HDD(Hard Disk Drive,硬碟驅動器)等構成。在儲存裝置121c內可讀取地儲存有記 載了控制基板處理裝置的動作的控制程式、後述的基板處理的程序、條件等的製程配方等。此外,配方是以能夠使控制器121執行後述的基板處理步驟的各程序而得到既定的結果的方式組合而成者,若與控制程式比較,其為高階語言。將控制程式和配方總稱為程式。另外,儲存裝置121c依序儲存了記錄有裝置的動作或狀態的記錄資訊。RAM121b構成為暫時保持由CPU121a讀出的程式或資料等的記憶體區域(工作區)。
I/O埠121d連接於匣盒收送單元12、匣盒平台13、晶圓姿勢矯正裝置14、匣盒移載裝置17、晶圓移載裝置19、加熱器34、溫度感測器、旋轉機構40、晶舟升降機22等。
CPU121a構成為,從儲存裝置121c讀出並執行控制程式,並且根據來自輸入輸出裝置122的操作指令的輸入等從儲存裝置121c讀出基板的配方。CPU121a構成為,按照讀出的配方的內容控制匣盒收送單元12的姿勢矯正動作、匣盒平台13的旋轉動作、晶圓姿勢矯正裝置14、匣盒移載裝置17的機械臂18的動作控制、晶圓移載裝置19的旋轉及升降控制、加熱器34的溫度控制、閘門72的開閉動作控制、移載室50的環境氣體控制、匣盒保持室60的環境氣體控制、以及晶舟升降機22的升降動作等。
控制器121能夠藉由將儲存於外部儲存裝置(例如,硬碟等磁碟、USB記憶體等半導體記憶體)123的上述的程式或配方安裝於電腦而構成。儲存裝置121c或外部儲存裝置123構成為電腦可讀取的有形記錄媒體。
以下,將該等總稱地簡稱為記錄媒體。在本說明書中使用記錄媒體這一術語的情況下,存在僅包含儲存裝置121c單體 的情況、僅包含外部儲存裝置123單體的情況、以及包含其兩者的情況。再者,就對電腦提供程式而言,也可以不使用外部儲存裝置123而使用網路或專用線路等通信手段而進行。
(2)基板處理步驟
接下來,參照圖5、圖6(A)至(F)以及圖7(A)至(D),對使用了處理裝置10的反應管31的基板處理方法進行說明。在此說明的基板處理方法中,使用上述的處理裝置10的反應管31,以半導體裝置(元件)的製造步驟的一步驟、例如在基板上形成含矽膜的成膜處理為例進行說明。以下的說明中,構成基板處理裝置10的各部的動作由控制器121控制。
(匣盒搬入步驟(C.CHG):S10)
藉由外部搬送裝置(未圖示)搬送來的匣盒2係以垂直姿勢載置於匣盒收送單元(裝載埠轉移器)12的匣盒平台13時,藉由晶圓姿勢矯正裝置14而與匣盒2內的晶圓1朝向對齊,然後形成水平姿勢,藉由機械臂18搬入緩衝架(15、16)。向架的搬入可與成膜處理不同步地進行。然後,成為接下來的成膜處理的批次的對象的匣盒2移至匣盒架15的面對開口71的位置。此時,如圖6(A)所示地,閘門72為打開的狀態,匣盒保持室60和移載室50為藉由開口71相連的狀態。然後,向匣盒保持室60和移載室50供給作為被過濾後的大氣的清潔空氣。在閘門72為打開的狀態的全部步驟中,原則上,移載室50相較於匣盒保持室60而為正壓,清潔空氣如箭頭ar所示地從移載室50經由開口71向匣盒保持室60緩慢流動。此 外,在S10,閘門72也可以為關閉的狀態。
(晶圓裝填步驟(W.CHG):S20)
如圖6(B)所示,移載室50內的晶圓移載裝置(移載機)19經由開口71將匣盒架15內的匣盒2內的晶圓1以複數片一起或以每一片的方式朝晶舟25移載。該期間,充滿移載室50的潔淨的空氣從開口71向匣盒保持室60流出。在圖6(B)所示的狀態下,爐口擋板28關閉,晶圓1的周圍(晶舟25等)的溫度不高,因此在晶圓1上不會產生多餘的氧化膜(較厚的自然氧化膜、或熱氧化膜)。亦即,雖然在被開放式匣盒處理的晶圓起初可能形成較薄的自然氧化膜,但是該步驟中的氧化的進行能夠忽略不計。此外,已知在矽晶圓中,藉由氫氟酸處理進行了氫終端(hydrogen terminated)的表面只要在常溫下,即使暴露於大氣,仍幾乎不會形成自然氧化。
(晶舟插入步驟(BLOAD):S30)
當向晶舟25移載複數個晶圓1完成時,如圖6(C)所示,利用閘門72封閉開口71,藉此使移載室50成為大致封閉狀態。然後,利用來自惰性氣體供給器(未圖示)的氮氣(N2氣體)沖洗移載室50。即,向移載室50的空間內送入氮氣,充滿移載室50的空間的大氣被置換成氮氣。在打開爐口擋板28前,反應管31內也同樣地被大致大氣壓的氮氣充滿。利用氮氣沖洗移載室50,直至氧濃度未滿既定值,然後打開爐口擋板28,層積有複數個晶圓1的晶舟25藉由晶舟升降機22插入(裝載)於反應管31內(參照圖6(D))。
(成膜步驟(DEPO):S40)
然後,如圖6(E)所示地,向反應管31內搬入晶舟25完成後,以反應室32內成為既定的壓力的方式控制反應室32內的環境氣體。另外,藉由加熱器34,以反應室32內成為既定的溫度(例如,未滿800℃)的方式進行控制,從氣體供給管35向反應室32內供給原料氣體(乙矽烷),在晶圓1上形成單晶或多晶矽膜。或者,供給反應氣體(氨(NH3)氣體)及原料氣體(六氯乙矽烷(Si2Cl6)氣體),生成氮化矽層(SiN層)等薄膜。此時,從排氣管36排出反應室32內的未反應的原料氣體或反應氣體等。再者,在成膜的期間,向移載室50供給既定量的氮氣,維持正壓。因為反應室32內未滿800℃,所以無法期待自然氧化膜藉由蒸發而去除。
(晶舟取出步驟(BUNLOAD):S50)
在晶圓1上生成期望的膜厚的薄膜後,如圖6(F)所示地,藉由晶舟升降機22從反應管31取出(卸載)晶舟25。此時,由於正在利用氮氣(N2氣體)沖洗移載室50,因此不會在晶圓1上生成氧化膜。剛卸載後的期間,為了冷卻晶圓而進行待機。在S50的最後,進行排除移載室50內的氮氣並導入空氣的大氣恢復。再者,成膜後的氧化膜根據需要而能夠藉由蝕刻等去除,因此,相較於成膜前在晶圓基層生成的氧化膜,並不嚴重。因此,可以在比常溫高的溫度下開始進行大氣恢復。
(晶圓卸載步驟(WDCG):S60)
在晶圓1的溫度降低至可移載的溫度,並且移載室50內的環 境氣體成為與空氣大致相同的組成後,如圖7(A)所示,移載室50成為大氣環境氣體,打開閘門72,露出移載室50與匣盒保持室60之間的開口71。
然後,如圖7(B)所示,設置於移載室50內的晶圓移載裝置(移載機)19經由開口71而將層積於晶舟25的複數片晶圓1朝匣盒保持室60的匣盒2移載。
(匣盒搬出步驟(CDCG):S70)
然後,如圖7(C)所示,收納有處理完畢的複數片晶圓1的匣盒2藉由匣盒移載裝置17而載置於匣盒收送單元12的匣盒平台13,藉由外部搬送裝置(未圖示)而從匣盒保持室60搬出。
(閒置狀態(IDOL))
圖7(D)表示基板處理裝置10的閒置狀態或者待機狀態。閘門72為打開的狀態,匣盒保持室60和移載室50設為藉由開口71而連結的狀態。
(朝移載室50的氮氣的流量)
圖8是用於說明步驟S10-S70、閒置狀態IDOL下的朝移載室50的氮氣的流量的狀態的圖。圖8中,橫軸表示各步驟及閒置狀態IDOL的狀態,縱軸表示氮氣的流量。朝移載室50的氮氣的流量的控制係藉由控制器121來控制,而作為移載室50之環境氣體控制。移載室50的環境氣體控制是氮氣的供給閥(未圖示)的開閉控制、氮氣的質量流量控制器(未圖示)的流量控制等。
在步驟S10(CCHG)、S20(WCHG)中,移載室50為大氣環境氣體,氮氣的流量為0(零)L/min(升/分)。氮氣的消耗為0(零)。
在步驟S30(BLOAD)中,關閉閘門72,移載室50從大氣環境氣體變更為氮氣環境氣體,因而氮氣的流量例如對於400L的容積的移載室,設定為800L/min(升/分)左右,從而利用氮氣迅速沖洗移載室50。然後,氮氣的流量例如變化到200L/min左右。在移載室50被氮氣沖洗後的狀態下,晶舟25被插入(裝載)至反應管31內。
在步驟S40(成膜步驟),例如,在一小時左右的處理時間的期間,保持移載室50被氮氣沖洗的狀態。氮氣的流量可以是固定值,也可以基於移載室50內的氧濃度計的測量而以將氧濃度保持為20ppm以下的方式進行可變控制。進一步地,在進行長時間的成膜的情況下,也可以停止沖洗,再臨時恢復大氣,成為與S10同樣的狀態。該情況下,需要以在開始S50時之前成為所期望的氧濃度的氮環境氣體的方式再次開始大流量(800L/min)的沖洗。
在步驟S50(BUNLOAD),朝移載室50的氮氣的流量設置為200L/min左右。該狀態下,利用晶舟升降機22從反應管31向移載室50取出(卸載)晶舟25。在成為能夠忽略自然氧化膜的生成的溫度的時間點,將氮氣的流量設為0,排出移載室50內的氮氣,置換成大氣。
在步驟S60、S70、以及閒置狀態IDOL下,閘門72為打開的狀態,移載室50為大氣環境氣體,朝移載室50的氮氣的流量為0L/min。氮氣的消耗為0(零)。
(匣盒保持室60及移載室50的大氣環境氣體的控制構成)
圖9表示實施形態的處理裝置10的大氣環境氣體的流動。
處理裝置10在其周邊例如具有用於從清潔室獲取大氣的空氣吸氣孔10a。從空氣吸氣孔10a獲取到的大氣向移載室50側和匣盒保持室60側流動。
朝移載室50側的大氣流動向設於移載室50的清潔單元50a供給,被清潔單元50a清潔化,然後朝移載室50供給。朝移載室50供給的大氣藉由設於移載室50的三個背面排氣風扇50b排出。
朝匣盒保持室60側的大氣流動向清潔單元60a供給,在此被清潔化後,朝匣盒平台13及緩衝架15、16供給。清潔單元60a設於匣盒保持室60的頂壁的大致整個面。從清潔單元60a朝匣盒保持室60供給的大氣從架下排氣風扇60c經由移載室50的地板下而向基板處理裝置10的背面側的外部排出。
如上所述,在移載室50與匣盒保持室60之間設有圖9中用虛線表示的開口71。以使移載室50相較於匣盒保持室60稍微成為正壓的方式控制清潔單元50a和60a的風量、或者背面排氣風扇50b和架下排氣風扇60c的風量的平衡。因此,在閘門72打開的期間,清潔空氣(大氣)如箭頭ar所示地從移載室50經由開口71向匣盒保持室60流出。另外,在匣盒收送單元12具有朝外部的開口的情況下,架下排氣風扇60c以不會從匣盒收送單元12的開口獲取外部空氣的方式抑制風量。
(移載室50的環境氣體的控制構成)
圖10是示意性地表示移載室50的環境氣體的控制構成的圖。圖11是用於說明移載室50的環境氣體的控制的圖。
移載室50具有清潔單元50a、對清潔單元50a供給來自空氣吸氣孔10a的大氣的進氣口501、以及供給來自惰性氣體供給器601的氮氣的惰性氣體吸氣孔600。
另外,移載室50還具有背面排氣風扇50b、排氣節流閥502、後排氣節流閥503、帶壓力調整板的排氣盒504、排氣管505、循環節流閥506、作為熱交換器的散熱器507、局部排氣管508、循環管509、以及設於清潔單元50a的橫側的側風扇511。局部排氣管508和循環管509經由循環節流閥506而與散熱器507的吸氣側結合,散熱器507的排氣側與清潔單元50a結合。散熱器507用於冷卻移載室50的環境氣體、或者大氣。局部排氣管508和循環管509是為了排出例如因移載機19、晶舟升降機22、旋轉機構40等的動作而引起的顆粒而設置的。
如圖11所示,就移載室50而言,具有大氣環境氣體和氮氣沖洗(N2沖洗)作為其之模式。
就大氣環境氣體而言,具有第1模式(CHG/DCHG PROCESS)和第2模式(DOOR OPEN)作為其之運作模式。
就氮氣沖洗(N2沖洗)而言,具有第1模式(CHG/DCHG PROCESS)、第2模式(Stanby、BUNLOAD)、以及第3模式(大氣恢復)作為其之運作模式。
大氣環境氣體的第1模式(CHG/DCHG PROCESS)下,將進氣口501設為Open(開),將排氣節流閥502設為Open(開),將後排氣節流閥503設為Open(開),將循環節流閥506設為 Open(開),將局部排氣管508和循環管509設為ON(運作),將後風扇50b設為ON(運作),將側風扇511設為ON(運作)。該運作模式下,能夠稱為循環模式。循環模式下,藉由散熱器507冷卻經由局部排氣管508、循環管509從循環節流閥506供給來的移載室50內的大氣,清潔單元50a將來自散熱器507的冷卻後的大氣清潔化,然後供給至移載室50,並且剩餘的大氣排出至裝置10的外部。圖12示意性地表示大氣環境氣體的循環模式下的移載室50內的大氣的流動。
在大氣環境氣體的第2模式(DOOR OPEN)下,將進氣口501設為Open(開),將排氣節流閥502設為Open(開),將後排氣節流閥503設為Open(開),將循環節流閥506設為Close(閉),將局部排氣管508和循環管509設為OFF(不運作),將後風扇50b設為OFF(不運作),將側風扇511設為ON(以MAX功率運作)。該運作模式能夠稱為單通模式。單通模式下,清潔單元50a將從裝置10的外部獲取的大氣(空氣)清潔化,然後供給至移載室50,並且將剩餘的空氣排出至裝置10的外部。圖13表示大氣環境氣體的單通模式下的移載室50內的大氣的流動。
N2沖洗的第1模式(CHG/DCHG PROCESS)下,將進氣口501設為Close(閉),將排氣節流閥502設為Close(閉),將後排氣節流閥503設為Close(閉),將循環節流閥506設為Open(開),將局部排氣管508和循環管509設為ON(運作),將後風扇50b設為OFF(不運作),將側風扇511設為ON(運作)。該運作模式能夠稱為循環模式。循環模式下,藉由散熱器507冷卻經由局部排氣管508和循環管509從循環節流閥506供給來的移載室50內的氮氣,清 潔單元50a將來自散熱器507的氮氣清潔化,然後供給至移載室50,並且剩餘的氮氣排出至裝置10的外部。圖14示意性地表示N2沖洗的循環模式下的移載室50內的氮氣的流動。
N2沖洗的第2模式(Stanby、BUNLOAD)下,將進氣口501設為Open(開),將排氣節流閥502設為Open(開),將後排氣節流閥503設為Close(閉),將循環節流閥506設為Close(閉),將局部排氣管508和循環管509設為OFF(不運作),將後風扇50b設為OFF(不運作),將側風扇511設為ON(運作)。該運作模式能夠稱為單通模式。
N2沖洗的第3模式(大氣恢復)下,如圖15所示,將進氣口501設為Open(開),將排氣節流閥502設為Open(開),將後排氣節流閥503設為Close(閉),將循環節流閥506設為Open(開),將局部排氣管508和循環管509設為ON(運作),將後風扇50b設為OFF(不運作),將側風扇511設為ON(運作)。
(3)本實施形態的效果
根據本實施形態,能夠得到以下所述的一個或複數個效果。
1)在移載室50與匣盒保持室60之間設有壁部70。壁部70具有開口71,且設有能夠開閉開口71的閘門72。當開口71被閘門72封閉時,移載室50和匣盒保持室60成為隔離的狀態。因此,能夠對移載室50內利用氮氣進行沖洗。移載室50的利用氮氣進行的沖洗僅為閘門關閉的期間。因此,能夠降低氮氣的消耗量。
2)相比於具備複數個裝載鎖定室並始終沖洗移載室的方式,無需沖洗裝載鎖定室,能夠在短時間內進行匣盒搬入步驟 S10、晶圓裝填步驟S20。藉此,1次的批量基板處理步驟的時間也能夠相應縮短,因此能夠提高基板處理的生產率。
3)在閘門72打開而開口71被打開的狀態下,移載室50和匣盒保持室60經由開口71而連結。該狀態下,移載室50和匣盒保持室60為大氣環境氣體。因此,能夠降低氮氣的消耗量,另外,能夠防止有機氣體積存於移載室50內。
4)上述2)中,相比於匣盒保持室60,移載室50為正壓,因此從移載室50向匣盒保持室60側流動清潔大氣。藉此,能夠潔淨地保持移載室50的環境氣體。
5)移載室50的大氣環境氣體能夠切換為單通模式和循環模式。因此,能夠對移載室50內進行清潔。
6)移載室50的氮氣環境氣體能夠切換為單通模式和循環模式。因此,能夠對移載室50內進行清潔,並且能夠抑制氮氣的消耗量。
以上,基於實施例對本發明者完成的發明具體地進行了說明,但本發明不限定於上述實施形態及實施例,其當然能夠進行多種變更。
1‧‧‧晶圓
2‧‧‧匣盒(基板收容器(開放式匣盒))
25‧‧‧基板支撐件(晶舟)
31‧‧‧處理管(反應管)
50‧‧‧移載室
60‧‧‧匣盒保持室
71‧‧‧開口
72‧‧‧閘門
ar‧‧‧清潔空氣(大氣)之流向

Claims (8)

  1. 一種基板處理裝置,其具有:反應室,其將基板以載置於基板支撐件的狀態進行處理;移載室,其與上述反應室連接,配置有從上述反應室取出的上述基板支撐件;閘門,其對為了使上述基板在上述移載室的內外移動而設於上述移載室的開口進行開閉;緩衝架,其在上述移載室的外側面向上述開口而設置,以保持基板收容器;移載機,其在上述緩衝架的上述基板收容器與上述基板支撐件之間搬送上述基板;清潔單元,其向上述移載室供給清潔的環境氣體;惰性氣體供給器,其向上述移載室供給惰性氣體;以及控制部,其以如下方式進行控制,即,在完成由上述移載機進行的從上述基板收容器朝上述基板支撐件的上述基板的搬入而關閉上述閘門之後,直至為了將處理完畢的上述基板從上述基板支撐件朝上述基板收容器搬出而再次打開上述閘門之間的至少一部分期間內,上述惰性氣體供給器供給上述惰性氣體,在上述期間外,上述惰性氣體供給器不供給上述惰性氣體,上述清潔單元構成為能夠切換單通模式和循環模式,其中,上述單通模式是將從裝置外獲取到的空氣清潔化而供給至上述移載室,並且將剩餘的空氣排出至裝置外的模式,上述循環模式是將上述移載室內的環境氣體吸引而清潔化以供給至上述移載室的模 式,在上述閘門打開的期間,藉由空氣即環境氣體而將上述移載室保持為正壓,並且使空氣從上述移載室中向外流出。
  2. 如請求項1之基板處理裝置,其中,在上述移載室處於既定的氧濃度以下的環境氣體的期間,進行上述基板支撐件向上述反應室的插入及從上述反應室的取出。
  3. 如請求項1或2之基板處理裝置,其中,上述清潔單元在成膜處理順序中依序將上述移載室切換為大氣環境、氮氣環境、大氣環境,在大氣環境下,至少在上述移載機運作的期間以能夠排出由上述移載機引起的微粒的第1流量供給大氣,在氮氣環境下,以能夠將移載室保持為正壓的第2流量進行循環。
  4. 如請求項1或2之基板處理裝置,其中,上述清潔單元具有將向上述移載室供給的環境氣體或大氣予以冷卻的熱交換器,上述移載機設於移載室,在上述閘門為開狀態下,實施將基板從設置於移載室外的基板收容器向上述基板支撐件的移載。
  5. 如請求項1或2之基板處理裝置,其中,在上述移載室的壁的最靠近上述移載機的位置沿上下方向排列設置複數個上述開口,上述閘門將複數個上述開口一併開閉。
  6. 如請求項1或2之基板處理裝置,其中,具備:保持室,其經由上述開口而與上述移載室連接設置,以收容上述緩衝架;以及收送單元,其與上述保持室連接設置,而與上述基板處理裝置的外部收送上述基板收容器;上述基板收容器為開放式匣盒,上述收送單元對外部開放。
  7. 一種半導體裝置之製造方法,其係具有如下步驟者:裝填步驟,其將收納在緩衝架的基板收容器中的複數個基板經由開口朝移載室內的基板支撐件裝填;插入步驟,其以閘門封閉上述開口,將層積有上述複數個基板的上述基板支撐件朝設於上述移載室的反應管插入;成膜步驟,其在上述複數個基板上成膜;取出步驟,其將層積有上述複數個基板的上述基板支撐件從上述反應管取出;以及卸載步驟,其打開上述閘門,經由上述開口,將層積於上述基板支撐件的上述複數個基板從上述移載室朝上述緩衝架的上述基板收容器卸載;上述半導體裝置之製造方法之特徵在於,在上述裝填步驟及卸載步驟中,使向上述移載室供給清潔的環境氣體的清潔單元在單通模式下運作,另一方面,在上述插入步驟、上述成膜步驟以及取出步驟中,使上述清潔單元在循環模式下運作,其中,上述單通模式係將從外部獲取到的空氣清潔化而供給至上述移載室,並且將剩餘的空氣排出至裝置外的模式,上述循環模式係將上述移載室內的環境氣體吸引而清潔化以供給至上述移載室的模式,上述單通模式下,在閘門打開的期間,藉由空氣即環境氣體而將上述移載室保持為正壓,並且使空氣從上述移載室中向外流出,上述循環模式下,從惰性氣體供給器向上述移載室供給惰性氣體。
  8. 一種程式,其係用於控制以下程序之在電腦中執行的基板處理 裝置的程式:裝填程序,其將收納在緩衝架的基板收容器中的複數個基板經由開口朝移載室內的基板支撐件裝填;插入程序,其用閘門封閉上述開口,將層積有上述複數個基板的上述基板支撐件朝設於上述移載室的反應管插入;成膜程序,其在上述複數個基板上成膜;取出程序,其將層積有上述複數個基板的上述基板支撐件從上述反應管取出;以及卸載程序,其打開上述閘門,經由上述開口,將層積於上述基板支撐件的上述複數個基板從上述移載室朝上述緩衝架的上述基板收容器卸載;上述程式的特徵在於,使電腦執行以下程序:在上述插入程序、上述成膜程序以及取出程序中,使惰性氣體供給器向上述移載室供給惰性氣體之程序;在上述插入程序、上述成膜程序以及取出程序以外,使上述惰性氣體供給器停止供給上述惰性氣體之程序;以及向上述移載室供給清潔的環境氣體的清潔單元構成為能夠切換單通模式和循環模式,其中,上述單通模式係將從外部獲取到的空氣清潔化而供給至上述移載室,並且將剩餘的空氣排出至裝置外的模式,上述循環模式係將上述移載室內的環境氣體吸引而清潔化以供給至上述移載室的模式,在上述閘門打開的期間,藉由空氣即環境氣體而將上述移載室保持為正壓,並且使空氣從上述移載室中向外流出。
TW107130996A 2017-09-26 2018-09-04 基板處理裝置、半導體裝置之製造方法及程式 TWI709163B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-184280 2017-09-26
JP2017184280 2017-09-26

Publications (2)

Publication Number Publication Date
TW201916107A TW201916107A (zh) 2019-04-16
TWI709163B true TWI709163B (zh) 2020-11-01

Family

ID=65807337

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130996A TWI709163B (zh) 2017-09-26 2018-09-04 基板處理裝置、半導體裝置之製造方法及程式

Country Status (5)

Country Link
US (1) US10519543B2 (zh)
JP (1) JP6688850B2 (zh)
KR (1) KR102170007B1 (zh)
CN (1) CN109560010B (zh)
TW (1) TWI709163B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6876020B2 (ja) * 2018-07-27 2021-05-26 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム
JP7225613B2 (ja) * 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
CN110364467A (zh) * 2019-06-13 2019-10-22 上海提牛机电设备有限公司 空气阻隔装置、晶圆上料设备与空气阻隔控制方法
CN110473783B (zh) * 2019-08-20 2022-05-27 北京北方华创微电子装备有限公司 颗粒控制方法
JP7000393B2 (ja) * 2019-09-25 2022-01-19 株式会社Kokusai Electric 基板処理装置、ガスボックス及び半導体装置の製造方法
WO2021117728A1 (ja) * 2019-12-09 2021-06-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2023140142A (ja) * 2022-03-22 2023-10-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130095668A1 (en) * 2010-06-04 2013-04-18 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US20160002789A1 (en) * 2013-03-28 2016-01-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318626A (ja) * 1993-05-07 1994-11-15 Nec Yamaguchi Ltd 半導体製造装置
JP3543995B2 (ja) * 1994-04-07 2004-07-21 東京エレクトロン株式会社 処理装置
JP3589823B2 (ja) * 1996-12-06 2004-11-17 大日本スクリーン製造株式会社 基板搬送装置、基板処理装置および基板搬送方法
JPH113867A (ja) * 1997-06-11 1999-01-06 Kokusai Electric Co Ltd 半導体製造装置
JP4374133B2 (ja) * 2000-12-05 2009-12-02 株式会社日立国際電気 基板処理装置および基板処理方法
JP4278128B2 (ja) 2001-06-25 2009-06-10 株式会社日立国際電気 基板処理装置および基板の処理方法
CN1855384A (zh) * 2005-04-27 2006-11-01 联华电子股份有限公司 可降低排气污染的半导体工艺机器及方法
JP2007095879A (ja) 2005-09-28 2007-04-12 Hitachi Kokusai Electric Inc 基板処理装置
US8443484B2 (en) 2007-08-14 2013-05-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP4684310B2 (ja) 2007-08-14 2011-05-18 株式会社日立国際電気 基板処理装置
JP5796972B2 (ja) * 2010-06-14 2015-10-21 株式会社日立国際電気 基板処理装置
JP5806811B2 (ja) 2010-10-01 2015-11-10 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP5779957B2 (ja) * 2011-04-20 2015-09-16 東京エレクトロン株式会社 ローディングユニット及び処理システム
JP6016931B2 (ja) 2012-09-27 2016-10-26 株式会社日立国際電気 基板処理装置、基板処理方法、及び半導体装置の製造方法
KR101957751B1 (ko) 2015-01-21 2019-03-13 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130095668A1 (en) * 2010-06-04 2013-04-18 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US20160002789A1 (en) * 2013-03-28 2016-01-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
KR20190035523A (ko) 2019-04-03
KR102170007B1 (ko) 2020-10-26
US10519543B2 (en) 2019-12-31
JP2019062194A (ja) 2019-04-18
CN109560010B (zh) 2022-12-16
JP6688850B2 (ja) 2020-04-28
TW201916107A (zh) 2019-04-16
CN109560010A (zh) 2019-04-02
US20190093219A1 (en) 2019-03-28

Similar Documents

Publication Publication Date Title
TWI709163B (zh) 基板處理裝置、半導體裝置之製造方法及程式
KR102035294B1 (ko) 기판 처리 장치
TWI644380B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
TWI608560B (zh) Substrate processing apparatus, manufacturing method of semiconductor apparatus, program, and recording medium
US9911635B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP6000665B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
TW201814804A (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
US10546761B2 (en) Substrate processing apparatus
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
JP2003007800A (ja) 基板処理装置および半導体装置の製造方法
US20220170160A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TWI775144B (zh) 基板處理裝置、半導體裝置的製造方法及程式
JP4456727B2 (ja) 半導体装置の製造方法および基板処理装置
JP6224263B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2001284277A (ja) 基板処理装置