JP6906490B2 - 基板処理装置、半導体装置の製造方法およびプログラム - Google Patents

基板処理装置、半導体装置の製造方法およびプログラム Download PDF

Info

Publication number
JP6906490B2
JP6906490B2 JP2018172705A JP2018172705A JP6906490B2 JP 6906490 B2 JP6906490 B2 JP 6906490B2 JP 2018172705 A JP2018172705 A JP 2018172705A JP 2018172705 A JP2018172705 A JP 2018172705A JP 6906490 B2 JP6906490 B2 JP 6906490B2
Authority
JP
Japan
Prior art keywords
gas supply
reaction gas
substrate
plasma
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018172705A
Other languages
English (en)
Other versions
JP2020047640A5 (ja
JP2020047640A (ja
Inventor
廣地志有
八幡橘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP2018172705A priority Critical patent/JP6906490B2/ja
Priority to TW107141190A priority patent/TWI713133B/zh
Priority to CN201910051465.2A priority patent/CN110911261B/zh
Priority to US16/262,382 priority patent/US10633739B2/en
Priority to KR1020190012776A priority patent/KR102210314B1/ko
Publication of JP2020047640A publication Critical patent/JP2020047640A/ja
Publication of JP2020047640A5 publication Critical patent/JP2020047640A5/ja
Application granted granted Critical
Publication of JP6906490B2 publication Critical patent/JP6906490B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Automation & Control Theory (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、基板処理装置、半導体装置の製造方法およびプログラムに関する。
半導体装置を製造する半導体製造装置においては、生産性の向上が求められている。それを実現するために、基板を均一に処理して歩留まりを向上させている。
基板を処理する方法として、プラズマを用いる方法がある。プラズマは高いエネルギーを有し、例えば基板上の膜や前駆体と反応させる場合に用いる。プラズマを使用する装置としては、特許文献1に記載の装置がある。
これらの装置では、様々な制約から、基板の径方向に対して供給されるラジカルの量を制御することが求められている。そこで本発明では、プラズマを供給して処理する装置において、基板の径方向に対して供給されるラジカルの量を制御可能な技術を提供することを目的とする。
特開2015−144225
上記課題を解決するための本発明の一態様は、基板を処理する処理室と、前記処理室で基板を支持する基板支持部と、前記基板支持部の基板支持面と対向する前記処理室の壁に設けられた複数の反応ガス供給孔と、前記処理室に固定され、前記反応ガス供給孔のそれぞれに連通する反応ガス供給管と、前記反応ガス供給管の上流に設けられたプラズマ生成部とを有する複数の反応ガス供給部と、前記プラズマ生成部に接続され、複数の前記プラズマ生成部を個別に制御するプラズマ制御部とを有する技術である。
本発明に係る技術によれば、プラズマを供給して処理する装置において、基板の径方向に対して供給されるラジカルの量を制御可能な技術を提供できる。
基板処理装置を説明する説明図である。 第一ガス供給部を説明する説明図である。 第二ガス供給部を説明する説明図である。 基板処理装置のコントローラを説明する説明図である。 基板処理フローを説明する説明図である。
図1を用いて、プラズマを供給して基板を処理する基板処理装置200の一例について説明する。
(チャンバ)
基板処理装置200はチャンバ202を有する。チャンバ202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、チャンバ202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。チャンバ202内には、基板としてのシリコンウエハ等の基板100を処理する処理空間205と、基板100を処理空間205に搬送する際に基板100が通過する搬送空間206とが形成されている。チャンバ202は、上部容器202aと下部容器202bで構成される。上部容器202aと下部容器202bの間には仕切り板208が設けられる。
下部容器202bの側面には、ゲートバルブ149に隣接した基板搬入出口148が設けられており、基板100は基板搬入出口148を介して図示しない真空搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。更に、下部容器202bは接地されている。
処理空間205を構成する処理室201は、例えば後述する基板載置台212とシャワーヘッド230とで構成される。処理空間205内には、基板100を支持する基板支持部210が設けられている。基板支持部210は、基板100を載置する基板載置面211と、基板載置面211を表面に持つ基板載置台212、基板載置台212に内包された加熱源としてのヒータ213を主に有する。
基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。ヒータ213には、ヒータ213の温度を制御する温度制御部220が接続される。
基板載置台212はシャフト217によって支持される。シャフト217の支持部はチャンバ202の底壁に設けられた穴を貫通しており、更には支持板216を介してチャンバ202の外部で昇降回転部218に接続されている。昇降回転部218を作動させてシャフト217及び基板載置台212を昇降させることにより、基板載置面211上に載置される基板100を昇降させることが可能である。さらには、昇降回転部218を作動させて、基板載置台212を回転させることが可能である。なお、シャフト217下端部の周囲はベローズ219により覆われている。チャンバ202内は気密に保持されている。
昇降回転部218はシャフト217を支持する支持軸218aと、支持軸218aを昇降させたり回転させたりする作動部218bを主に有する。作動部218bは、例えば昇降を実現するためのモータを含む昇降部218cと、支持軸218aを回転させるための歯車等の回転機構218dを有する。これらには、動作を円滑にするようにグリス等が塗布されている。
昇降回転部218には、昇降回転部218の一部として、作動部218bに昇降・回転指示するための指示部218eを設けても良い。指示部218eはコントローラ400に電気的に接続される。指示部218eはコントローラ400の指示に基づいて、作動部218bを制御する。作動部218は、後述するように、基板載置台212が、ウエハ搬送ポジションやウエハ処理ポジションの位置に移動するよう、制御する。
基板載置台212は、基板100の搬送時には、基板載置面211が基板搬入出口148に対向する位置まで下降し、基板100の処理時には、図1で示されるように、基板100が処理空間205内の処理位置となるまで上昇する。
処理空間205の上部(上流側)には、シャワーヘッド230が設けられている。
シャワーヘッド230は、蓋231を有する。蓋231はフランジ232を有し、フランジ232は上部容器202a上に支持される。更に、蓋231は位置決め部233を有する。位置決め部233が上部容器202aに勘合されることで、蓋231が固定される。
シャワーヘッド230は、バッファ空間234を有する。バッファ空間234は、蓋231と位置決め部232で構成される空間をいう。バッファ空間234と処理空間205は連通している。バッファ空間234に供給されたガスはバッファ空間234で拡散し、処理空間205に均一に供給される。ここではバッファ空間234と処理空間205を別の構成として説明したが、それに限るものではなく、バッファ空間234を処理空間205に含めてもよい。
(供給部)
蓋231には、原料ガスまたはパージガスが供給される第一ガス供給孔235と、反応ガスが供給される第二ガス供給孔236が設けられる。後述するように、反応ガスは原料ガスと反応するガスである。基板100のエッジに供給可能なよう、第二ガス供給孔236は径方向に複数設けられる。第二ガス供給孔236は反応ガス供給孔とも呼ぶ。
第一ガス供給孔235には、第一ガス供給部240の一部である共通ガス供給管241と連通するように構成される。共通ガス供給管241は天井231に固定される。
それぞれの第二ガス供給孔236には、第二ガス供給部260の一部である反応ガス供給管261と連通するように構成される。反応ガス供給管261は天井231に固定される。
図1に記載の「A」は図2に記載の「A」と連通する。また、「B」は図3に記載の「B」と連通する。
少なくとも第二ガス供給孔236の中心軸は、基板100の中心軸と同軸とならないよう構成される。両者の中心軸をずらし、且つ基板100を回転させることで、プラズマ状の反応ガスが基板100表面に偏りなく供給可能となる。
(第一ガス供給部)
続いて、図2を用いて第一ガス供給部240の詳細を説明する。共通ガス供給管241には、第一ガス供給管243、パージガス供給管249が接続されている。
第一ガス供給管243からは第一元素含有ガスが主に供給され、パージガス供給管249からはパージガスが供給される。
(原料ガス供給部242)
共通ガス供給管241には、第一ガス供給管243が接続される。第一ガス供給管243には、上流方向から順に、第一ガス供給源244、流量制御器(流量制御部)であるMFC245、及び開閉弁であるバルブ246が設けられている。
第一ガス供給管243から共通ガス供給管241を介して、第一元素を含有するガス(以下、「第一元素含有ガス」)が、マスフローコントローラ245、バルブ246、共通ガス供給管241を介してシャワーヘッド230に供給される。
第一元素含有ガスは、原料ガス、すなわち、処理ガスの一つである。ここで、第一元素は、例えばシリコン(Si)である。すなわち、第一元素含有ガスは、例えばシリコン含有ガスである。具体的には、シリコン含有ガスとして、ジクロロシラン(SiHCl。DCSとも呼ぶ。)ガスが用いられる。
第一元素含有ガスが常温常圧で液体の場合は、第一ガス供給源244とMFC245との間に、図示しない気化器を設ければよい。ここでは気体として説明する。
主に、第一ガス供給管243、MFC245、バルブ246により、第一元素含有ガス供給系242(シリコン含有ガス供給系ともいう)が構成される。
更には、第一ガス供給源244を、第一元素含有ガス供給系242に含めて考えてもよい。
(パージガス供給部)
共通ガス供給管241と第一ガス供給管243の合流部247には、パージガス供給部248の一部であるパージガス供給管249の下流端が接続されている。パージガス供給管249には、上流方向から順に、パージガス供給源250、流量制御器(流量制御部)であるMFC251、及び開閉弁であるバルブ252が設けられている。
パージガスは、後述するパージ工程にて、処理空間205の雰囲気をパージするものである。例えば窒素ガスが用いられる。
主に、パージガス供給管249、MFC251、バルブ252によりパージガス供給部248が構成される。
更には、パージガス供給源250を、パージガス供給部248に含めて考えてもよい。
(第二ガス供給部)
続いて図3を用いて、第二ガス供給部260を説明する。
それぞれの反応ガス供給管261には、上流方向から順に、反応ガス供給源262、流量制御器(流量制御部)であるMFC263、プラズマ生成部であるリモートプラズマユニット(RPU)265、バルブ266が設けられる。
そして、反応ガス供給管261からは、反応ガスが、MFC263、RPU265を介して、シャワーヘッド230内に供給される。反応ガスはRPU265によりプラズマ状態とされる。RPU265はプラズマ制御部264により制御される。
それぞれのRPU265は個別に制御可能である。制御方法としては、例えば一つのRPUに対応した一つのプラズマ制御部264を設ける構成とし、それぞれのプラズマ制御部が対応するRPU265を制御してもよい。また、複数のRPU265に対して、一つのプラズマ制御部264を接続し、プラズマ制御部264がそれぞれのRPU265を個別に制御してもよい。
RPU265の制御としては、例えばRPU265に供給するパワーを制御する。パワーを制御することで、生成されるラジカルの量を調整する。例えば高パワーを供給すると多くのラジカルが生成され、低パワーを供給すると少量のラジカルが生成される。
反応ガスは、処理ガスの一つであり、例えば窒素含有ガスである。窒素含有ガスとしては、例えばアンモニア(NH)ガスが用いられる。
バルブ266よりも下流側の合流部267には、不活性ガス供給管268の下流端が接続される。不活性ガス供給管268には、上流方向から順に、不活性ガス供給源269、流量制御器(流量制御部)であるMFC270、及び開閉弁であるバルブ271が設けられている。そして、不活性ガス供給管268からは、不活性ガスが、MFC270、バルブ271、反応ガス供給管261を介して、シャワーヘッド230内に供給される。不活性ガスは、例えば、窒素(N)ガスである。
本実施形態においては、特許文献1に記載の分散板が存在しないので、シャワーヘッド230内で失活することなく、基板100上に供給される。
不活性ガス供給管268から供給される不活性ガスは、反応ガス供給管261に原料ガスやパージガスが侵入しないよう、ガスカーテンの役割を有する。
RPU265と合流部267の間には、合流部272、バルブ266が設けられる。合流部272には、バイパス管273が接続される。バイパス管273には、バルブ274が設けられ、更にプラズマモニタ部275が設けられる。バイパス管267の下流は、後述する排気管281に接続され、バイパス管273中の雰囲気を排気可能なよう構成している。
主に、反応ガス供給管261、MFC263、バルブ264、RPU265、不活性ガス供給管268、MFC269、バルブ271、バイパス管273、バルブ274で第二ガス供給部260が構成される。なお、第二ガス供給部260は、反応ガスを供給する構成であるので、反応ガス供給部とも呼ぶ。
また、反応ガス供給源262、不活性ガス供給源269、プラズマモニタ部275を第二ガス供給部260に含めてもよい。
第二ガス供給部260においては、バルブ266を第一のバルブ、バルブ271を第二のバルブ、バルブ274を第三のバルブとも呼ぶ。
ここで、プラズマ生成に関する比較例として、処理室の天井でプラズマを生成する構造について説明する。具体的には、処理室の天井に電極等のプラズマ生成部を埋め込むと共に、それに隣接して供給孔と兼ねたプラズマ生成室を形成し、ガスが天井を通過する際にプラズマを生成する構造である。このような構造では、プラズマを生成した際にプラズマ生成室の壁をエッチングする恐れがあり、そうなると基板に被エッチング物が付着することが懸念される。複数のプラズマ生成室を天井に設ける構造の場合、プラズマ生成室の数に応じて被エッチング物が増加するため、歩留まりの低下が著しい。
さらにプラズマを供給する方法に関する比較例として、例えば特許文献1のように一つのプラズマ生成部を用い、生成されたプラズマをガスガイドおよび分散板を用いて分散させ、供給する方法がある。
特許文献1の場合、プラズマ生成部と基板との距離が遠いために被エッチング物の影響を受けにくいが、プラズマがガスガイドや分散板に衝突して失活することが考えられる。その結果ラジカル量が少なくなるため、反応不足等により所望の膜を形成できない恐れがある。
特に、プラズマがガスガイドの先端に向かうほど失活量が多くなるので、基板の外周では基板中央に比べてプラズマの量が少なくなる。すなわち、基板全体でラジカルが少なくなることに加え、基板中央と基板外周とではラジカルの量が異なる。したがって、基板中央と基板外周とで処理がばらついてしまう。
以上説明したように、本実施形態では、処理室201の外側にプラズマ生成部であるRPU265を複数設けているので、比較例に比べて失活せずに基板の径方向にラジカル量を制御できる。
(排気部)
図1に戻って説明する。処理室201の雰囲気を排気する排気部280は、処理空間205に連通する排気管281を有する。排気管281には、処理空間205内を所定の圧力に制御する圧力制御器であるAPC(AutoPressure Controller)282、処理空間205の圧力を計測する圧力検出部283が設けられる。APC282は開度調整可能な弁体(図示せず)を有し、後述するコントローラ400からの指示に応じて排気管281のコンダクタンスを調整する。また、排気管281においてAPC282の上流側にはバルブ284が設けられる。さらに、APC282の下流には、バイパス管273が接続される。排気管281とバルブ284、APC282、圧力検出部283をまとめて排気部280と呼ぶ。
排気管281の下流側には、ポンプ285が設けられる。ポンプ285は、排気管281を介して、処理室201内の雰囲気や、バイパス管273内の雰囲気を排気する。
(コントローラ)
基板処理装置200は、基板処理装置200の各部の動作を制御するコントローラ400を有している。コントローラ400は、図4に記載のように、演算部(CPU)401、一時記憶部402、記憶部403、送受信部404を少なくとも有する。コントローラ400は、送受信部404を介して基板処理装置200の各構成に接続され、上位コントローラや使用者の指示に応じて記憶部402からプログラムやレシピを呼び出し、その内容に応じて各構成の動作を制御する。なお、コントローラ400は、専用のコンピュータとして構成してもよいし、汎用のコンピュータとして構成してもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリ)412を用意し、外部記憶装置412を用いて汎用のコンピュータにプログラムをインストールすることにより、本実施形態に係るコントローラ400を構成できる。また、コンピュータにプログラムを供給するための手段は、外部記憶装置412を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用いても良いし、上位装置420から送受信部411を介して情報を受信し、外部記憶装置412を介さずにプログラムを供給するようにしてもよい。また、キーボードやタッチパネル等の入出力装置413を用いて、コントローラ400に指示をしても良い。
なお、記憶部402や外部記憶装置412は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶部402単体のみを含む場合、外部記憶装置412単体のみを含む場合、または、その両方を含む場合がある。
(基板処理工程)
図5を用いて基板処理装置200を用いた基板処理工程について説明する。本基板処理工程を行うことで、基板上に薄膜を形成する。なお、以下の説明において、基板処理装置200を構成する各部の動作はコントローラ400により制御される。
(プラズマ生成部調整工程)
プラズマ生成部調整工程を説明する。図5においては、本工程の説明を省略する。プラズマ生成部調整工程では、それぞれのRPU265に供給するパワーを、様々な制約に対応可能なよう調整する。
ここで、制約の例を説明する。一つは基板処理装置の個体差の問題である。個体差は、例えばプラズマ供給の均一性に関連する。それぞれのMFC263を同様に調整したとしても、各基板処理装置では基板面内に対するプラズマの供給量にばらつきがある場合がある。組み立ての精度や、部品の癖等が原因である。プラズマの供給の均一性とは、例えばラジカルの供給量の均一性である。
本実施形態では個体差を解消すべく、プラズマ供給を均一にするために、あらかじめプラズマの供給量が少ない箇所を特定し、その部分に対応したRPU246に高いパワーを供給するなどして、プラズマの供給量を調整する。個別に調整することで、基板100に対して均一にプラズマを供給できる。
また、基板の状態も制約の一つである。例えば電極等の金属が形成されている基板を処理する場合、金属への影響を抑える必要がある。また、搬入された基板に形成された膜厚等に偏りがあった場合、その偏りを補正すべく、部分的にラジカル供給量を制御してもよい。近年、多くの品種の膜種を処理するため、種類の異なる基板を一つの基板処理装置で処理することがある。多くの種類の基板処理に対応すべく、基板の種類に応じてプラズマを制御する。
(基板搬入工程)
基板搬入工程を説明する。図5においては、本工程の説明を省略する。基板処理装置200では基板載置台212を基板100の搬送位置(搬送ポジション)まで下降させることにより、基板載置台212の貫通孔214にリフトピン207を貫通させる。その結果、リフトピン207が、基板載置台212表面よりも所定の高さ分だけ突出した状態となる。続いて、ゲートバルブ149を開いて搬送空間206を真空搬送室(図示せず)と連通させる。そして、この移載室からウエハ移載機(図示せず)を用いて基板100を搬送空間206に搬入し、リフトピン207上に基板100を移載する。これにより、基板100は、基板載置台212の表面から突出したリフトピン207上に水平姿勢で支持される。
チャンバ202内に基板100を搬入したら、ウエハ移載機をチャンバ202の外へ退避させ、ゲートバルブ149を閉じてチャンバ202内を密閉する。その後、基板載置台212を上昇させることにより、基板載置面211上に基板100を載置させ、さらに基板載置台212を上昇させることにより、前述した処理空間205内の処理位置(基板処理ポジション)まで基板100を上昇させる。
基板100が搬送空間206に搬入された後、バルブ284を開き、処理空間205とAPC282の間を連通させる。APC282は、排気管263のコンダクタンスを調整することで、ポンプ285による処理空間205の排気流量を制御し、処理空間205を所定の圧力(例えば10−5〜10−1Paの高真空)に維持する。
また、基板100を基板載置台212の上に載置する際は、基板載置台212の内部に埋め込まれたヒータ213に電力を供給し、基板100の表面が所定の温度となるよう制御される。基板100の温度は、例えば室温以上800℃以下であり、好ましくは、室温以上であって500℃以下である。この際、ヒータ213の温度は、図示しない温度センサにより検出された温度情報に基づいてコントローラ400が制御値を抽出し、温度制御部220によってヒータ213への通電具合を制御することによって調整される。
基板100を基板処理温度に昇温した後、基板100を所定温度に保ちつつ加熱処理を伴う以下の基板処理を行う。すなわち、各ガス供給管からチャンバ202内に処理ガスを供給し、基板100を処理する。
以下、第一の処理ガスとしてジクロロシラン(SiHCl、略称DCS)ガスを用い、第二の処理ガスとしてアンモニア(NH3)ガスを用いて、基板100上に薄膜としてシリコン窒化膜を形成する例について説明する。ここでは、異なる処理ガスを交互に供給する工程を繰り返す交互供給処理を行う。
(第一の処理ガス供給工程S202)
続いて、第一の処理ガス供給工程S202を説明する。基板載置台212が図1のようにウエハ処理ポジションに移動したら、排気管281を介して処理室201から雰囲気を排気して、処理室201内の圧力を調整する。所定の圧力に調整しつつ、基板100の温度が所定の温度、例えば500℃から600℃に加熱する。
続いて、第一ガス供給部240の動作を説明する。
原料ガス供給部242では、バルブ246を開にすると共に、MFC245で処理ガスの流量を調整する。パージガス供給部248では、バルブ252を閉にする。このような動作により、共通ガス供給管241から処理室201に処理ガス、例えばDCSガスを処理室に供給する。供給されたDCSガスは基板100上にシリコン含有層を形成する。
第二ガス供給部260の動作を説明する。プラズマ制御部264はRPU265に電力を供給し、RPU265内を通過するガスをプラズマ状態とするよう、設定する。さらに、MFC270で不活性ガスの流量を制御すると共に、バルブ271を開とする。このとき、バルブ266を閉とし、バルブ274を開とする。
このような動作とすることで、第一ガス供給部240からは処理ガスが処理空間205供給される。さらに、第二ガス供給部260からはプラズマ状態の反応ガスが処理空間205供給されることなく、不活性ガスが供給される。反応ガスは、バイパス管273を介して排気されるので、処理空間205に侵入しない。
ここで、本工程が原料ガスを供給する工程であるにも関わらず、RPU265を稼働する理由について説明する。
本実施形態においては交互供給方法にて基板100を処理している。交互供給法では、第一の処理ガス供給工程と後述する第二の処理ガス供給工程を交互に行うが、第二の処理ガス供給工程にてプラズマ状の処理ガスを基板に供給する。
枚葉装置では処理室205の容量が小さいので、各工程は短時間で切り替えられるが、RPU265のオン/オフはその切り替えに追従できないことが考えられる。プラズマ生成が安定するまでには時間がかかるため、オン/オフに追従できたとしても、安定したプラズマの供給は追従できない。
そこでRPU265を稼働し続けるが、プラズマ状のガスを本工程にて供給すると、処理室201内で処理ガスが反応して気相反応が起きる。この場合、深溝等アスペクト比の高いデバイスパターンに対しては膜を形成できない。
そこで、本実施形態では、RPU265にガスを供給すると共に、RPU265を稼働させている。さらには、バルブ266を閉とすると共に、バルブ274を開として、プラズマ状のガスを、バイパス管273を介して排気する。このようにして、安定したプラズマを生成しつつ、生成したプラズマ状のガスを処理室に供給しないようにしている。
また、不活性ガス供給管268を介して不活性ガスを処理室201に供給することで、DCSガスが反応ガス供給管261に侵入することを防ぐ。侵入を防ぐことで、反応ガスが供給管内で原料ガスと反応ガスとが反応し、副生成物が発生することを防ぐ。
このとき、プラズマモニタ部275でプラズマの状態を検出すると共に、コントローラ280に検出状態を送信する。コントローラ280は、検出された状態を読み込み、所望のプラズマが生成されているかを確認できる。例えば基板処理前にRPUを稼働させ、プラズマの状態を検出し、プラズマ状態が所望の状態であると判断されたら、成膜工程を実施してもよい。
また、プラズマモニタ部275で検出した検出値が所望の状態でないとコントローラが判断したら、プラズマ制御部264を制御して、正常な状態になるよう制御してもよい。
例えば、ラジカルの量が少ないと判断したら、より多くのパワーをRPU265に供給するよう制御する。
このように、それぞれのバイパス菅275でプラズマ状態を検出すると共に、その状態に応じてそれぞれのバイパス菅275に対応したRPU265を制御することで、より安定に、基板の径方向に対して供給されるラジカルの量を制御可能とする。
所定時間経過したら、バルブ246を閉にして、DCSガスの供給を停止する。第二の処理ガス供給部260においては、引き続きバルブ271を開、バルブ266を閉、バルブ274とする。
(パージ工程S204)
続いて、パージ工程S204を説明する。DCSガスの供給を停止した後は、パージガス供給管249からパージガスを供給し、処理室201内の雰囲気のパージを行う。ここでは、バルブ246およびバルブ266は閉とされると共に、バルブ252、バルブ271、バルブ274を開とする。
処理室201は、APC276によって処理室201の圧力が所定圧力となるように制御される。これにより、第一の処理ガス供給工程S202で基板100に結合できなかったDCSガスは、DP278により、排気管281を介して処理室201から除去される。
パージ工程S204では、基板100に付着できなかったり、処理室201、シャワーヘッドバッファ室232で残留したりするDCSガスを排除するために、大量のパージガスを供給して排気効率を高める。
所定時間経過したら、バルブ252を閉として、パージ処理を終了する。
(第二の処理ガス供給工程S206)
続いて、第二の処理ガス供給工程S206を説明する。処理室201のパージが完了したら、続いて、第二の処理ガス供給工程S206を行う。第二ガス供給部260では、バルブ266を開、バルブ274、バルブ271を閉として、RPU265、シャワーヘッド230を介して、処理室201内に第二の処理ガスとして第二元素含有ガスであるNHガスを供給する。このとき、NHガスの流量が所定流量となるように、MFC263を調整する。NHガスの供給流量は、例えば1000〜10000sccmである。
バルブ271を閉じて反応ガス供給管261に不活性ガスが入らないようにすることで、不活性ガスと反応ガスとの衝突を回避でき、プラズマの失活を防ぐ。また、RPU265を稼働し続けた状態でガスの供給を切り替えるので、安定したプラズマを生成できる。
第一ガス供給部240では、バルブ246を閉としてDCSガスが処理空間205に供給されないようにする。さらに、バルブ252を閉として、大量の不活性ガスが供給されないようにする。
RPU265でプラズマ状態とされたNHガスは、シャワーヘッド230を介して、処理室201内に供給される。供給されたNHガスは、基板100上のシリコン含有層と反応する。そして、既に形成されているシリコン含有層がNHガスのプラズマによって改質される。これにより、基板100上には、例えばシリコン元素および窒素元素を含有する層であるシリコン窒化層(SiN層)が形成されることになる。
NHガスの供給を開始してから所定時間経過後、バルブ266を閉じ、NHガスの供給を停止する。NHガスの供給時間は、例えば2〜20秒である。
なお、より良くは基板載置台210を回転させてもよい。基板載置台210と共に基板100を回転させることで、より均一に基板面内にプラズマを供給できる。さらに、本実施形態のように基板100の回転軸と供給孔236が偏心することで、基板中心部にプラズマが集中することなく、均一に供給できる。
(パージ工程S208)
続いて、パージ工程S208を説明する。NHガスの供給を停止した後は、上述したパージ工程S204と同様のパージ工程S208を実行する。パージ工程S208における各部の動作は、上述したパージ工程S204と同様であるので、ここでの説明を省略する。
(判定工程S210)
続いて、判定工程S210を説明する。第一の処理ガス供給工程S202、パージ工程S204、第二の処理ガス供給工程S206、パージ工程S208を1サイクルとして、コントローラ400は、このサイクルを所定回数(nサイクル)実施したか否かを判定する。サイクルを所定回数実施すると、基板100上には、所望膜厚のSiN層が形成される。所定回数実施したとき(S210でYesの場合)、図5に示す処理を終了する。
(基板搬出工程)
続いて基板搬出工程を説明する。所望の膜厚のSiN層が形成されたら、基板載置台212を下降させ、基板100を搬送ポジションに移動する。その後、ゲートバルブ149を開き、アーム(不図示)を用いて基板100をチャンバ202の外へ搬出する。
上述の実施形態では、第二の処理ガス供給工程で均一にラジカルを供給している。そのため、基板100上に形成された原料の前駆体を均一に改質できるので、膜中の結合度や密度等の組成が均一な層を形成できる。また、それを所定層積層することで、ピンホール等が無い緻密な膜を形成できる。
尚、上述の実施形態では、第一元素含有ガスとしてシリコン含有ガスを用い、第二元素含有ガスとして窒素含有ガスを用いた場合について説明したが、それに限るものではなく、金属含有ガスや酸素含有ガスを用いてもよい。
また、不活性ガスとしてNガスを例に説明したが、処理ガスと反応しないガスであればそれに限るものではない。例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
100 基板
200 基板処理装置
265 プラズマ生成部


Claims (10)

  1. 基板を処理する処理室と、
    前記処理室で基板を支持する基板支持部と、
    前記基板支持部の基板支持面と対向する前記処理室の壁に設けられた複数の反応ガス供給孔と、
    前記処理室に固定され、前記反応ガス供給孔のそれぞれに連通する反応ガス供給管と、
    前記反応ガス供給管の上流に設けられ、反応ガスをプラズマ状態とするプラズマ生成部と、
    前記プラズマ生成部と前記処理室との間で、前記反応ガス供給管に接続される不活性ガス供給管と、
    前記不活性ガス供給管と前記プラズマ生成部との間で前記反応ガス供給管に接続されるバイパス管と
    を有する複数の反応ガス供給部と、
    前記プラズマ生成部に接続され、複数の前記プラズマ生成部を個別に制御可能なプラズマ制御部と、
    を有する基板処理装置。
  2. 前記反応ガス供給管には、前記バイパス管の接続部と前記不活性ガス供給管の合流部の間に第一のバルブが設けられ、
    前記不活性ガス供給管には第二のバルブが設けられ、
    前記バイパス管には第三のバルブが設けられる、
    請求項1に記載の基板処理装置。
  3. 前記バイパス管には、プラズマモニタ部が設けられ、前記プラズマモニタ部が検出したプラズマの状態は、前記プラズマ制御部に送信される請求項1または請求項2に記載の基板処理装置。
  4. さらに、前記プラズマ制御部を制御可能なコントローラとを有し、
    前記プラズマ生成部には前記プラズマ制御部が接続され、
    前記コントローラは、それぞれの前記プラズマモニタ部で検出した検出値が所望の状態でないと判断したら、所望の状態となるよう、前記プラズマモニタ部に対応した前記プラズマ制御部を制御する請求項3に記載の基板処理装置。
  5. 前記基板支持部は、回転可能な構造である請求項1から請求項4のうち、いずれか一項に記載の基板処理装置。
  6. 前記反応ガス供給孔は、前記基板の中心と偏心するよう構成される請求項1から請求項5のうち、いずれか一項に記載の基板処理装置。
  7. 前記処理室は、前記反応ガスと反応する原料ガスを供給する原料ガス供給部と連通され、
    前記原料ガス供給部から前記原料ガスを供給する際は、前記第二のバルブ、前記第三のバルブを開にすると共に、前記第一のバルブを閉とし、
    前記原料ガス供給部から前記原料ガスを供給せずに、前記反応ガス供給部から反応ガスを供給する際は、前記第二のバルブ、前記第三のバルブを閉にすると共に、前記第一のバルブを開とするよう制御する請求項2に記載の基板処理装置。
  8. 前記処理室は、前記処理室の雰囲気をパージするパージガス供給部と連通され、
    前記パージガス供給部からパージガスを供給する際は、
    前記第二のバルブ、前記第三のバルブを開にすると共に、前記第一のバルブを閉とし、
    前記パージガス供給部から前記パージガスを供給せずに、前記反応ガス供給部から反応ガスを供給する際は、前記第二のバルブ、前記第三のバルブを閉にすると共に、前記第一のバルブを開とするよう制御する請求項2または請求項7に記載の基板処理装置。
  9. 処理室に基板を搬入して基板支持部に載置する工程と、
    前記基板支持部の基板支持面と対向する前記処理室の壁に設けられた複数の反応ガス供給孔のそれぞれと対応して設けられた前記処理室に固定される反応ガス供給管と、前記反応ガス供給管の上流に設けられ、反応ガスをプラズマ状態とするプラズマ生成部と、前記プラズマ生成部と前記処理室との間で、前記反応ガス供給管に接続される不活性ガス供給管と、前記不活性ガス供給管と前記プラズマ生成部との間で前記反応ガス供給管に接続されるバイパス管とを有する複数の反応ガス供給部から、反応ガスを供給する工程と
    を有する半導体装置の製造方法。
  10. 処理室に基板を搬入して基板支持部に載置する手順と、
    前記基板支持部の基板支持面と対向する前記処理室の壁に設けられた複数の反応ガス供給孔のそれぞれと対応して設けられた前記処理室に固定される反応ガス供給管と、前記反応ガス供給管の上流に設けられ、反応ガスをプラズマ状態とするプラズマ生成部と、前記プラズマ生成部と前記処理室との間で、前記反応ガス供給管に接続される不活性ガス供給管と、前記不活性ガス供給管と前記プラズマ生成部との間で前記反応ガス供給管に接続されるバイパス管とを有する複数の反応ガス供給部から、反応ガスを供給する手順と、
    をコンピュータによって基板処理装置に実行させるプログラム。
JP2018172705A 2018-09-14 2018-09-14 基板処理装置、半導体装置の製造方法およびプログラム Active JP6906490B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2018172705A JP6906490B2 (ja) 2018-09-14 2018-09-14 基板処理装置、半導体装置の製造方法およびプログラム
TW107141190A TWI713133B (zh) 2018-09-14 2018-11-20 基板處理裝置、半導體裝置的製造方法及程式
CN201910051465.2A CN110911261B (zh) 2018-09-14 2019-01-18 衬底处理装置、半导体器件的制造方法及记录介质
US16/262,382 US10633739B2 (en) 2018-09-14 2019-01-30 Substrate processing apparatus
KR1020190012776A KR102210314B1 (ko) 2018-09-14 2019-01-31 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018172705A JP6906490B2 (ja) 2018-09-14 2018-09-14 基板処理装置、半導体装置の製造方法およびプログラム

Publications (3)

Publication Number Publication Date
JP2020047640A JP2020047640A (ja) 2020-03-26
JP2020047640A5 JP2020047640A5 (ja) 2020-05-07
JP6906490B2 true JP6906490B2 (ja) 2021-07-21

Family

ID=69773778

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018172705A Active JP6906490B2 (ja) 2018-09-14 2018-09-14 基板処理装置、半導体装置の製造方法およびプログラム

Country Status (5)

Country Link
US (1) US10633739B2 (ja)
JP (1) JP6906490B2 (ja)
KR (1) KR102210314B1 (ja)
CN (1) CN110911261B (ja)
TW (1) TWI713133B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022122171A (ja) * 2021-02-09 2022-08-22 東京エレクトロン株式会社 成膜装置及び成膜方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3645768B2 (ja) 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
KR20010096229A (ko) * 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
JP2002057150A (ja) * 2000-08-08 2002-02-22 Crystage Co Ltd 薄膜形成装置
JP2002100623A (ja) 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
JP2005072371A (ja) * 2003-08-26 2005-03-17 Seiko Epson Corp プラズマ装置、薄膜の製造方法及び微細構造体の製造方法
JPWO2005098922A1 (ja) * 2004-03-31 2008-03-06 株式会社日立国際電気 半導体装置の製造方法
JP2008050662A (ja) * 2006-08-25 2008-03-06 Hitachi Kokusai Electric Inc 基板処理装置
JP5048346B2 (ja) 2007-01-16 2012-10-17 株式会社アルバック 真空処理装置
KR101349189B1 (ko) * 2007-08-16 2014-01-09 주식회사 뉴파워 프라즈마 원격 플라즈마 시스템 및 방법
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2011028349A2 (en) * 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
JP5897617B2 (ja) * 2014-01-31 2016-03-30 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US20180204729A1 (en) * 2015-08-17 2018-07-19 Ulvac, Inc. Substrate processing method and substrate processing device
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6242933B2 (ja) * 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6767844B2 (ja) * 2016-11-11 2020-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2018093150A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法

Also Published As

Publication number Publication date
TW202011497A (zh) 2020-03-16
KR102210314B1 (ko) 2021-01-29
CN110911261B (zh) 2022-06-10
JP2020047640A (ja) 2020-03-26
US20200087785A1 (en) 2020-03-19
TWI713133B (zh) 2020-12-11
CN110911261A (zh) 2020-03-24
KR20200031498A (ko) 2020-03-24
US10633739B2 (en) 2020-04-28

Similar Documents

Publication Publication Date Title
US9972500B2 (en) Method of manufacturing semiconductor device
JP6339057B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム
US10546761B2 (en) Substrate processing apparatus
US10714316B2 (en) Method of manufacturing semiconductor device
US20150340226A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium
JP6774972B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP5963893B2 (ja) 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
JP7214834B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6715894B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6906490B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN113496872A (zh) 半导体装置的制造方法、基板处理装置以及存储介质
CN112176322B (zh) 基板处理装置、半导体装置的制造方法、以及程序
US10121651B2 (en) Method of manufacturing semiconductor device
US20220093447A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US20200173025A1 (en) Substrate Processing Apparatus
JP7311553B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2014116356A (ja) 半導体製造方法及び半導体製造装置
KR102674572B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 프로그램 및 온도 조정 방법
US20200115227A1 (en) Method of manufacturing semiconductor device
JP6224263B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6262020B2 (ja) 基板処理装置及び半導体装置の製造方法並びにプログラム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200318

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210629

R150 Certificate of patent or registration of utility model

Ref document number: 6906490

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250