KR101882773B1 - 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR101882773B1
KR101882773B1 KR1020170025850A KR20170025850A KR101882773B1 KR 101882773 B1 KR101882773 B1 KR 101882773B1 KR 1020170025850 A KR1020170025850 A KR 1020170025850A KR 20170025850 A KR20170025850 A KR 20170025850A KR 101882773 B1 KR101882773 B1 KR 101882773B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
load lock
processing
cooling
Prior art date
Application number
KR1020170025850A
Other languages
English (en)
Other versions
KR20180035108A (ko
Inventor
테루오 요시노
타케시 야스이
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20180035108A publication Critical patent/KR20180035108A/ko
Application granted granted Critical
Publication of KR101882773B1 publication Critical patent/KR101882773B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판마다의 처리 균일성을 향상시킨다.
기판을 처리하는 복수의 처리실; 상기 복수의 처리실의 각각에 설치되고 상기 기판을 소정 온도로 가열하는 가열부; 상기 복수의 처리실에 접속된 진공 반송실; 상기 진공 반송실에 설치되고, 상기 기판을 복수 매 반송 가능한 반송 로봇; 상기 진공 반송실에 접속된 로드록 실; 상기 로드록 실 내에 설치되고, 상기 처리실에서 처리된 기판을 지지하는 지지부; 상기 로드록 실에 불활성 가스를 공급하는 불활성 가스 공급부; 상기 기판의 온도에 대응하는 냉각 레시피가 기록된 기억 장치; 상기 복수의 처리실과 상기 진공 반송실과는 게이트 밸브를 개재하여 접속되고, 상기 진공 반송실 내이며, 상기 게이트 밸브의 측방에 상기 기판의 온도를 측정하는 온도 센서; 및 상기 기판을 상기 처리실에서 소정 온도로 가열 처리한 후, 상기 기판을 상기 처리실로부터 상기 로드록 실에 반송하고, 상기 온도 센서로 측정한 온도에 대응하는 상기 냉각 레시피를 상기 기억 장치로부터 판독하고, 상기 냉각 레시피에 기초해서 상기 기판에 상기 불활성 가스를 공급하여 상기 기판을 냉각하도록 상기 불활성 가스 공급부를 제어하는 제어부;를 포함한다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 개시(開示)는 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서 기판 상에 실리콘(Si) 등의 소정 원소를 포함하는 산화막을 형성하는 처리를 복수의 장치에 의해 수행하는 경우가 있다(예컨대 특허문헌1 참조).
1. 일본 특허 제5947435호 공보
기판마다의 처리 균일성을 향상시키는 것이 요구된다. 따라서 본 개시에서는 기판마다의 처리 균일성의 향상 가능한 기술을 제공한다.
일 형태에 따르면, 기판을 처리하는 복수의 처리실; 상기 복수의 처리실의 각각에 설치되고 상기 기판을 소정 온도로 가열하는 가열부; 상기 복수의 처리실에 접속된 진공 반송실; 상기 진공 반송실에 설치되고, 상기 기판을 복수 매 반송 가능한 반송 로봇; 상기 진공 반송실에 접속된 로드록 실; 상기 로드록 실 내에 설치되고, 상기 처리실에서 처리된 기판을 지지하는 지지부; 상기 로드록 실에 불활성 가스를 공급하는 불활성 가스 공급부; 상기 기판의 온도에 대응하는 냉각 레시피가 기록된 기억 장치; 상기 복수의 처리실과 상기 진공 반송실과는 게이트 밸브를 개재하여 접속되고, 상기 진공 반송실 내이며, 상기 게이트 밸브의 측방에 상기 기판의 온도를 측정하는 온도 센서; 및 상기 기판을 상기 처리실에서 소정 온도로 가열 처리한 후, 상기 기판을 상기 처리실로부터 상기 로드록 실에 반송하고, 상기 온도 센서로 측정한 온도에 대응하는 상기 냉각 레시피를 상기 기억 장치로부터 판독하고, 상기 냉각 레시피에 기초해서 상기 기판에 상기 불활성 가스를 공급하여 상기 기판을 냉각하도록 상기 불활성 가스 공급부를 제어하는 제어부;를 포함하는 기술이 제공된다.
본 개시에 따른 기술에 따르면 기판마다의 처리 균일성을 향상시킬 수 있다.
도 1은 일 실시예에 따른 기판 처리 시스템의 횡단면(橫斷面)의 개략 도면.
도 2는 일 실시예에 따른 기판 처리 시스템의 종단면(縱斷面)의 개략 도면.
도 3은 일 실시예에 따른 로드록 실의 단면도의 개략 도면.
도 4는 일 실시예에 따른 프로세스 모듈의 가스 공급계와 가스 배기계의 개략 도면.
도 5는 일 실시예에 따른 기판 처리 장치의 개략 구성도.
도 6은 일 실시예에 따른 컨트롤러의 개략 구성도.
도 7은 일 실시예에 따른 로드록 시퀀스의 판정 공정예.
도 8a 내지 도 8c는 일 실시예에 따른 기판의 스와프(swap) 반송예.
도 9a 내지 도 9b는 일 실시예에 따른 기판의 스와프 반송을 수행하지 않는 예.
도 10은 일 실시예에 따른 기판 온도에 대한 냉각 공정의 테이블예.
도 11은 일 실시예에 따른 기판 온도에 대한 냉각 공정의 변형예.
이하에 본 개시의 실시예에 대해서 설명한다.
<일 실시예>
(1) 기판 처리 시스템의 구성
일 실시예에 따른 기판 처리 시스템의 개요 구성을 도 1, 도 2 및 도 4를 참조하여 설명한다. 도 1은 본 실시예에 따른 기판 처리 시스템의 구성예를 도시하는 횡단면도다. 도 2는 본 실시예에 따른 기판 처리 시스템의 구성예를 도시하는 도 1의 α-α'선을 따른 종단면도다. 도 4는 도 1의 β-β'을 따른 종단면도이며, 프로세스 모듈에 공급하는 가스 공급계와 배기계를 설명하는 설명도다.
도 1 및 도 2에서 본 개시가 적용되는 기판 처리 시스템(1000)은 웨이퍼(200)를 처리하는 것이며, IO스테이지(1100), 대기(大氣) 반송실(1200), 로드록(L/L) 실(1300), 진공 반송실(1400)(트랜스퍼 모듈:TM) 및 프로세스 모듈(110a 내지 110d)(PM)로 주로 구성된다. 다음으로 각 구성에 대해서 구체적으로 설명한다. 도 1의 설명에서는 전후좌우는 X1방향이 우측, X2방향이 좌측, Y1방향이 전측(前側), Y2방향이 후측(後側)으로 한다.
<대기 반송실 및 IO스테이지>
기판 처리 시스템(1000)의 전측으로는 IO스테이지(1100)(로드 포트)가 설치된다. IO스테이지(1100) 상에는 복수의 포드(1001)가 탑재된다. 포드(1001)는 실리콘(Si) 기판 등의 웨이퍼(200)를 반송하는 캐리어로서 이용할 수 있고, 포드(1001) 내에는 미처리의 기판(웨이퍼)(200)이나 처리 완료된 웨이퍼(200)가 각각 수평 자세로 복수 격납되도록 구성된다.
포드(1001)에는 캡(1120)이 설치되고, 포드 오프너(1210)(Pod Opener:PO)에 의해 개폐된다. PO(1210)는 IO스테이지(1100)에 재치된 포드(1001)의 캡(1120)을 개폐하고, 기판 반입 반출구(미도시)를 개방 또는 폐쇄하는 것에 의해 포드(1001)에 대한 웨이퍼(200)의 출입을 가능하게 한다. 포드(1001)는 도시되지 않는 공정 내 반송 장치(RGV)에 의해 IO스테이지(1100)에 대하여 공급 및 배출된다.
IO스테이지(1100)는 대기 반송실(1200)에 인접한다. 대기 반송실(1200)은 IO스테이지(1100)와 다른 면에 후술하는 로드록 실(1300)이 연결된다.
대기 반송실(1200) 내에는 웨이퍼(200)를 이재하는 제1 반송 로봇으로서의 대기 반송 로봇(1220)이 설치된다. 도 2에 도시된 바와 같이 대기 반송 로봇(1220)은 대기 반송실(1200)에 설치된 엘리베이터(1230)에 의해 승강되도록 구성되는 것과 함께, 리니어 액츄에이터(1240)에 의해 좌우 방향으로 왕복 이동되도록 구성된다.
도 2에 도시된 바와 같이 대기 반송실(1200)의 상부에는 클린 에어를 공급하는 클린 유닛(1250)이 설치된다. 또한 도 1에 도시되는 바와 같이 대기 반송실(1200)의 좌측에는 웨이퍼(200)로 형성되는 노치(notch) 또는 오리엔테이션 플랫을 맞추는 장치(1260)(이하 프리얼라이너라고 말한다)가 설치된다.
도 1 및 도 2에 도시된 바와 같이 대기 반송실(1200)의 광체[筐體(1270)] 전측에는 웨이퍼(200)를 대기 반송실(1200)에 대하여 반입 반출하기 위한 기판 반입 반출구(1280)와 PO(1210)가 설치된다. 기판 반입 반출구(1280)를 개재하여 PO(1210)와 반대측, 즉 광체(1270)의 외측에는 IO스테이지(1100)가 설치된다.
대기 반송실(1200)의 광체(1270)의 후측에는 웨이퍼(200)를 로드록 실(1300)에 반입 반출하기 위한 기판 반입 반출구(1290)가 설치된다. 기판 반입 반출구(1290)는 게이트 밸브(1330)에 의해 개방 또는 폐쇄되는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
<로드록 실>
다음으로 로드록 실(1300)에 대해서 도 1, 도 2 및 도 3을 참조하여 설명한다. 도 3의 하측의 도면은 상측의 도면의 γ-γ'의 단면도다. 로드록 실(1300)은 대기 반송실(1200)에 인접한다. 로드록 실(1300)을 구성하는 광체(1310)가 포함하는 면 중 대기 반송실(1200)과는 다른 면에는 후술하는 바와 같이 TM(1400)이 배치된다. 로드록 실(1300)은 대기 반송실(1200)의 압력과 TM(1400)의 압력에 맞춰서 광체(1310) 내의 압력이 변동하기 때문에 부압을 견딜 수 있는 구조로 구성된다.
광체(1310) 중 TM(1400)과 인접하는 측에는 기판 반입 반출구(1340)가 설치된다. 기판 반입 반출구(1340)는 게이트 밸브(1350)(GV)에 의해 개방 또는 폐쇄되는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
또한 로드록 실(1300) 내에는 웨이퍼(200)가 재치되는 지지부(1311a, 1311b, 1311c, 1311d)가 설치된다. 또한 지지부(1311a, 1311b)는 제1 지지부이며 미처리의 웨이퍼(200)를 지지하고, 지지부(1311c, 1311d)는 제2 지지부이며 처리 완료된 웨이퍼(200)가 지지되도록 구성된다.
또한 로드록 실(1300) 내에 냉각 가스로서의 불활성 가스를 공급하는 불활성 가스 공급부와 로드록 실(1300) 내의 분위기를 배기하는 배기부(601, 602)가 설치된다. 불활성 가스 공급부는 가스 공급관(501a, 502a), 밸브(501b, 502b) 및 MFC(501c, 502c)를 포함하고, 로드록 실(1300) 내에 공급하는 냉각 가스의 유량을 조정 가능하도록 구성된다.
또한 제2 지지부(1311c, 1311d)의 하측에는 웨이퍼(200)와 대향하는 냉각부(801a, 801b)가 각각 설치된다. 냉각부(801a, 801b)의 웨이퍼(200)와 대향하는 면은 적어도 웨이퍼(200)의 지름보다 크게 형성된다. 또한 냉각부(801a, 801b)에는 냉매 유로(802a, 802b)가 구성되어 칠러(803)로부터 냉매가 공급되도록 구성된다. 여기서 냉매는 예컨대 물(H2O) 및 퍼플루오로폴리에테르(PFPE)와 같은 물질이 이용된다.
<진공 반송실>
기판 처리 시스템(1000)은 부압 하에서 웨이퍼(200)가 반송되는 반송 공간이 되는 반송실로서의 TM(1400)을 구비한다. TM(1400)을 구성하는 광체(1410)는 평면시가 5각형으로 형성되고, 5각형의 각 부분에는 로드록 실(1300) 및 웨이퍼(200)를 처리하는 프로세스 모듈(110a 내지 110d)(PM)이 연결된다. TM(1400)의 대략 중앙부에는 부압 하에서 웨이퍼(200)를 이재(반송)하는 제2 반송 로봇으로서의 진공 반송 로봇(1700)이 플랜지(1430)를 기부(基部)로서 설치된다. 또한 여기서는 TM(1400)이 5각형의 예를 제시하지만, TM(1400)은 4각형이나 6각형 등의 다각형이어도 좋다.
광체(1410)의 측벽 중 로드록 실(1300)과 인접하는 측에는 기판 반입 반출구(1420)가 설치된다. 기판 반입 반출구(1420)는 게이트 밸브(GV)(1350)에 의해 개방 또는 폐쇄되는 것에 의해 웨이퍼(200)의 출입을 가능하게 한다.
TM(1400) 내에 설치되는 진공 반송 로봇(1700)은 도 2에 도시된 바와 같이 엘리베이터(1450) 및 플랜지(1430)에 의해 TM(1400)의 기밀성을 유지하면서 승강할 수 있도록 구성된다. 진공 반송 로봇(1700)의 상세한 구성은 후술한다. 엘리베이터(1450)는 진공 반송 로봇(1700)이 구비하는 2개의 암(1800, 1900)을 각각 독립해서 승강 가능하도록 구성된다. 또한 2개의 암(1800, 1900)의 각각은 트위저(1801, 1802, 1901, 1902)가 설치되고, 1개의 암으로 2개의 웨이퍼(200)를 동시에 반송 가능하도록 구성된다.
광체(1410)의 천정(天井)에는, 광체(1410) 내에 불활성 가스를 공급하기 위한 불활성 가스 공급공(1460)이 설치된다. 불활성 가스 공급공(1460)에는 불활성 가스 공급관(1510)이 설치된다. 불활성 가스 공급관(1510)에는 상류로부터 순서대로 불활성 가스원(1520), 매스 플로우 컨트롤러(1530)(MFC) 및 밸브(1540)가 설치되고, 광체(1410) 내에 공급하는 불활성 가스의 공급량을 제어한다.
주로 불활성 가스 공급관(1510), MFC(1530) 및 밸브(1540)로 TM(1400)에서의 불활성 가스 공급부(1500)가 구성된다. 또한 불활성 가스원(1520) 및 가스 공급공(1460)을 불활성 가스 공급부(1500)에 포함시켜도 좋다.
광체(1410)의 저벽(底壁)에는 광체(1410)의 분위기를 배기하기 위한 배기공(1470)이 설치된다. 배기공(1470)에는 배기관(1610)이 설치된다. 배기관(1610)에는 상류로부터 순서대로 압력 제어기인 APC(Automatic Pressure Controller)(1620) 및 펌프(1630)가 설치된다.
주로 배기관(1610) 및 APC(1620)로 TM(1400)에서의 가스 배기부(1600)가 구성된다. 또한 펌프(1630) 및 배기공(1470)을 가스 배기부에 포함시켜도 좋다.
불활성 가스 공급부(1500) 및 가스 배기부(1600)의 협동(協動)에 의해 TM(1400)의 분위기가 제어된다. 예컨대 광체(1410) 내의 압력이 제어된다.
도 1에 도시되는 바와 같이 광체(1410)의 5매의 측벽 중 로드록 실(1300)이 설치되지 않는 측에는 웨이퍼(200)에 원하는 처리를 수행하는 PM(110a, 110b, 110c, 110d)이 연결된다.
PM(110a, 110b, 110c, 110d)의 각각에는 기판 처리 장치의 하나의 구성인 챔버(100)가 설치된다. 구체적으로는 PM(110a)은 챔버(100a, 100b)가 설치된다. PM(110b)에는 챔버(100c, 100d)가 설치된다. PM(110c)에는 챔버(100e, 100f)가 설치된다. PM(110d)에는 챔버(100g, 100h)가 설치된다.
광체(1410)의 측벽 중 각 챔버(100)와 대향하는 벽에는 기판 반입 반출구(1480)가 설치된다. 예컨대 도 1 및 도 2에 기재와 같이 챔버(100a)와 대향하는 벽에는 기판 반입 반출구(1480a)가 설치된다. 마찬가지로, 챔버(100b)와 대향하는 벽에는 기판 반입 반출구(1480b)가 설치되고, 챔버(100c)와 대향하는 벽에는 기판 반입 반출구(1480c)가 설치되고, 챔버(100d)와 대향하는 벽에는 기판 반입 반출구(1480d)가 설치되고, 챔버(100e)와 대향하는 벽에는 기판 반입 반출구(1480e)가 설치되고, 챔버(100f)와 대향하는 벽에는 기판 반입 반출구(1480f)가 설치되고, 챔버(100g)와 대향하는 벽에는 기판 반입 반출구(1480g)가 설치되고, 챔버(100h)와 대향하는 벽에는 기판 반입 반출구(1480h)가 설치된다.
게이트 밸브(GV)(1490)는 도 1에 도시되는 바와 같이 챔버마다 설치된다. 구체적으로는 챔버(100a)와 TM(1400) 사이에는 GV(1490a)가, 챔버(100b)와의 사이에는 GV(1490b)가 설치된다. 챔버(100c)와의 사이에는 GV(1490c)가, 챔버(100d)와의 사이에는 GV(1490d)가 설치된다. 챔버(100e)와의 사이에는 GV(1490e)가, 챔버(100f)와의 사이에는 GV(1490f)가 설치된다. 챔버(100g)와의 사이에는 GV(1490g)가, 챔버(100h)와의 사이에는 GV(1490h)가 설치된다.
각 GV(1490)에 의해 개방 또는 폐쇄되는 것에 의해 기판 반입 반출구(1480)를 개재한 웨이퍼(200)의 출입을 가능하게 한다.
또한 TM(1400) 내이며, 각 GV(1490)의 전측에는 웨이퍼(200)의 온도를 측정하는 온도 센서(701a, 701b, 701c, 701d, 701e, 701f, 701g, 701h, 701i, 701j)가 설치되어도 좋다. 온도 센서(701a, 701b, 701c, 701d, 701e, 701f, 701g, 701h, 701i, 701j)는 예컨대 방사 온도계다. 온도 센서(701a, 701b, 701c, 701d, 701e, 701f, 701g, 701h, 701i, 701j)를 설치하는 것에 의해 반송 중의 웨이퍼(200)의 온도를 측정하는 것이 가능해진다.
<프로세스 모듈(PM)>
계속해서 각 PM(110a 내지 110d) 중 PM(110a)에 대해서 도 1, 도 2 및 도 4를 참조하여 설명한다. 도 4는 PM(110a)과 PM(110a)에 접속되는 가스 공급부와 PM(110a)에 접속되는 가스 배기부와의 관련을 설명하는 설명도다.
여기서는 PM(110a)을 예로 하지만 다른 PM(110b), PM(110c), PM(110d)에서도 마찬가지인 구조이기 때문에 여기서는 설명을 생략한다.
도 4에 기재와 같이 PM(110a)에는 웨이퍼(200)를 처리하는 기판 처리 장치의 하나의 구성인 챔버(100a)와 챔버(100b)가 설치된다. 챔버(100a)와 챔버(100b) 사이에는 격벽(2040a)이 설치되고, 각각의 챔버 내의 분위기가 혼재하지 않도록 구성된다.
도 2에 도시된 바와 같이 챔버(100a)와 TM(1400)이 이웃이 되는 벽에는 기판 반입 반출구(2060a)가 설치된다. 마찬가지로 챔버(100b) 내지 챔버(100h)와 TM(1400)이 이웃이 되는 벽들에도 기판 반입 반출구(미도시)가 각각 설치된다.
각 챔버(100)에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다.
PM(110a)에는 챔버(100a)와 챔버(100b)의 각각에 처리 가스를 공급하는 가스 공급부가 접속된다. 가스 공급부는 제1 가스 공급부(처리 가스 공급부), 제2 가스 공급부(반응 가스 공급부) 및 제3 가스 공급부(퍼지 가스 공급부) 등으로 구성된다. 각 가스 공급부의 구성에 대해서는 후술한다.
또한 PM(110a)에는 챔버(100a)와 챔버(100b)의 각각을 배기하는 가스 배기부가 설치된다. 도 4에 도시된 바와 같이 하나의 가스 배기부가 복수의 챔버를 배기하도록 구성된다.
이와 같이 PM(110a)에 설치된 복수의 챔버(100a, 100b)는 하나의 가스 공급부와 하나의 가스 배기부를 공유하도록 구성된다.
또한 PM(100a, 100b, 100c, 100d)의 각각은 다른 온도대(溫度帶)의 처리를 수행하는 경우가 있다. 예컨대 PM(100a, 100b)에서는 저온 처리(제1 온도에서의 처리)를 수행하고, PM(100c, 100d)에서 고온 처리[제2 온도에서의 처리(제2 온도>제1 온도)]를 수행하는 경우가 있다. 이와 같은 경우, 이하의 문제점이 발생하여, 웨이퍼(200)마다의 열 이력이 변화되고 기판마다의 처리 균일성이 저하될 일이 있다.
(a) PM(100a, 100b)으로부터 반출되는 웨이퍼(200)의 온도와 PM(100c, 100d)으로부터 반출되는 온도가 다르고, 웨이퍼(200)를 로드록 실(1300)에서 냉각하는 시간에 불균일성이 발생해서 반송 시퀀스에 지연이 발생하는 문제점이 있다.
(b) PM으로부터 로드록 실(1300)까지의 처리 완료된 웨이퍼(200)의 반송 시간이 다를 수 있다. 이에 의해 로드록 실(1300)에 반입된 웨이퍼(200)의 온도가 다르고, 로드록 실(1300)에서 냉각하는 시간에 불균일성이 발생해서 반송 시퀀스에 지연을 발생하는 문제점이 있다. 예컨대 후술하는 교환 반송에서 취출(取出)한 처리 완료된 웨이퍼(200)를 로드록 실(1300)에 반송하는 경우와 취득 반송에서 취출한 처리 완료된 웨이퍼(200)를 로드록 실(1300)에 반송하는 경우에서는 로드록 실(1300)에 반입된 웨이퍼(200)의 온도가 다르다. 교환 반송에서는 처리 완료된 웨이퍼(200)를 취출하고 교환 반송이 완료될 때까지 TM(1400) 내에서 대기(待機)하는 시간이 있기 때문에 취득 반송 시의 웨이퍼(200)의 온도보다 낮아진다.
(c) 진공 반송 로봇(1700)의 2개의 암(1800, 1900)의 어느 하나로 1매의 웨이퍼(200)를 반출한 후에 2매의 웨이퍼(200)를 반출 시에 2매의 웨이퍼(200)에 온도 차이가 발생할 일이 있다. 예컨대 암(1800)의 중 트위저(1801)로 웨이퍼(200)를 반출하고, 트위저(1802)로 웨이퍼(200)를 반출하지 않는 경우에 트위저(1801, 1802)의 각각의 온도에 차이가 발생한다. 이에 의해 다음으로 웨이퍼(200)를 2매 반송할 때에 웨이퍼(200)가 트위저 각각의 온도의 영향을 받아 2매의 웨이퍼(200) 각각의 온도가 달라지는 과제가 있다.
다음으로 기판 처리 장치로서의 챔버 각각의 구성에 대해서 설명한다.
(2) 기판 처리 장치(105)는 예컨대 절연막 형성 유닛을 포함한다. 기판 처리 장치(105)는 복수의 챔버(100)를 포함할 수 있다. 도 5에는, 기판 처리 장치(105)가 포함하는 챔버(100) 중 예컨대 챔버(100a)만이 도시된다. 기판 처리 장치(105)는 예컨대 매엽식(枚葉式) 기판 처리 장치를 포함한다. 도 5를 참조로, 기판 처리 장치(105)를설명한다. 도 5를 참조로 기판 처리 장치(105)가 챔버(100a)를 포함하는 경우를 설명한다. 기판 처리 장치(105)가 챔버(100a, 100b)를 포함하는 경우, 기판 처리 장치(105)가 챔버(100c, 100d)를 포함하는 경우, 기판 처리 장치(105)가 챔버(100e, 100f)를 포함하는 경우 및 기판 처리 장치(105)가 챔버(100g, 100h)를 포함하는 경우는 설명을 생략한다
도 5에 도시된 바와 같이 기판 처리 장치(105)에 포함되는 챔버(100a)는 처리 용기(202)를 구비한다. 처리 용기(202)는 예컨대 수평 단면이 원형이며 편평한 밀폐 용기로서 구성된다. 또한 처리 용기(202)는 예컨대 알루미늄(Al)이나 스텐레스 스틸(SUS) 등의 금속 재료 또는 석영에 의해 구성된다. 처리 용기(202) 내에는 기판으로서의 실리콘 웨이퍼 등의 웨이퍼(200)를 처리하는 처리 공간(201)(처리실)과 이재 공간(203)(이재실)이 형성된다. 처리 용기(202)는 상부 용기(202a)와 하부 용기(202b)로 구성된다. 상부 용기(202a)와 하부 용기(202b) 사이에는 경계부(204)가 설치된다. 상부 처리 용기(202a)에 둘러싸여진 공간이며 경계부(204)보다 상방(上方)의 공간을 처리실(201)이라고 부른다. 또한 하부 용기(202b)에 둘러싸여진 공간이며 게이트 밸브(1490) 부근을 이재실(203)이라고 부른다.
하부 용기(202b)의 측면에는 게이트 밸브(1490)에 인접한 기판 반입 반출구(1480)가 설치되고, 웨이퍼(200)는 기판 반입 반출구(1480)를 개재하여 TM(1400)과 이재실(203) 사이를 이동한다. 하부 용기(202b)의 저부(底部)에는 리프트 핀(207)이 복수 설치된다. 또한 하부 용기(202b)는 접지(接地)된다.
처리실(201) 내에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다. 기판 지지부(210)는 웨이퍼(200)를 재치하는 재치면(211)을 표면에 가지는 재치대(212) 및 가열부로서의 히터(213)를 주로 포함한다. 기판 재치대(212)에는 리프트 핀(207)이 관통하는 관통공(214)이 리프트 핀(207)과 대응하는 위치에 각각 설치된다. 또한 기판 재치대(212)에는 웨이퍼(200)나 처리실(201)에 바이어스를 인가하는 바이어스 전극(256)이 설치되어도 좋다. 여기서 히터(213)에는 온도 측정부(400)가 접속되고, 히터(213)의 온도 정보를 컨트롤러(260)에 송신 가능하도록 구성된다. 또한 바이어스 전극(256)은 바이어스 조정부(257)에 접속되고, 바이어스 조정부(257)에 의해 바이어스가 조정 가능하도록 구성된다. 바이어스 조정부(257)의 설정 정보는 컨트롤러(260)와 송수신 가능하도록 구성된다.
기판 재치대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는 처리 용기(202)의 저부를 관통하고 또한 처리 용기(202)의 외부에서 승강부(218)에 접속된다. 승강부(218)를 작동시켜서 샤프트(217) 및 지지대(212)를 승강시키는 것에 의해 기판 재치면(211) 상에 재치되는 웨이퍼(200)를 승강시키는 것이 가능해진다. 또한 샤프트(217) 하단부의 주위는 벨로즈(219)(bellows)에 의해 피복되고 처리실(201) 내는 기밀하게 보지(保持)된다.
기판 재치대(212)는 웨이퍼(200)의 반송 시에는 웨이퍼 이재 위치로 이동하고, 웨이퍼(200)의 제1 처리 시에는 도 5의 실선으로 도시한 제1 처리 위치(웨이퍼 처리 위치)에 이동한다. 또한 제2 처리 시에는 도 5의 파선으로 도시한 제2 처리 위치(웨이퍼 이재 위치)에 이동한다. 또한 웨이퍼 이재 위치는 리프트 핀(207)의 상단이 기판 재치면(211)의 상면으로부터 돌출하는 위치다.
구체적으로는 기판 재치대(212)를 웨이퍼 이재 위치까지 하강시켰을 때에는 리프트 핀(207)의 상단부가 기판 재치면(211)의 상면으로부터 돌출하고, 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 기판 재치대(212)를 웨이퍼 처리 위치까지 상승시켰을 때에는 리프트 핀(207)은 기판 재치면(211)의 상면으로부터 매몰하고, 기판 재치면(211)이 웨이퍼(200)를 하방으로부터 지지하도록 이루어진다. 또한 리프트 핀(207)은 웨이퍼(200)와 직접 접촉하기 때문에 예컨대 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다.
<배기계>
처리실(201)[상부 용기(202a)]의 내벽 측면에는 처리실(201)의 분위기를 배기하는 제1 배기부로서의 제1 배기구(221)가 설치된다. 제1 배기구(221)에는 배기관(224a)이 접속되고, 배기관(224a)에는 처리실(201) 내를 소정의 압력으로 제어하는 APC 등의 압력 조정기(227a)와 진공 펌프(223)가 순서대로 직렬로 접속된다. 주로 제1 배기구(221), 배기관(224a) 및 압력 조정기(227a)에 의해 제1 배기계(배기 라인)가 구성된다. 또한 진공 펌프(223)도 제1 배기계의 구성으로 해도 좋다. 또한 이재실(203)의 내벽 측면에는 이재실(203)의 분위기를 배기하는 제2 배기구(1481)가 설치된다. 또한 제2 배기구(1481)에는 배기관(1482)이 설치된다. 배기관(1482)에는 압력 조정기(228)가 설치되고, 이재실(203) 내의 압력을 소정의 압력으로 배기 가능하도록 구성된다. 또한 이재실(203)을 개재하여 처리실(201) 내의 분위기를 배기할 수도 있다. 또한 압력 조정기(227a)는 압력 정보나 밸브 개도(開度)의 정보를 컨트롤러(260)와 송수신 가능하도록 구성된다. 또한 진공 펌프(223)는 펌프의 ON/OFF정보나 부하 정보 등을 컨트롤러(260)에 송신 가능하도록 구성된다.
<가스 도입구>
처리실(201)의 상부에 설치되는 샤워 헤드(234)의 상면(천정벽)에는 처리실(201) 내에 각종 가스를 공급하기 위한 가스 도입구(241)가 설치된다. 가스 공급부인 가스 도입구(241)에 접속되는 각 가스 공급 유닛의 구성에 대해서는 후술한다.
<가스 분산 유닛>
가스 분산 유닛으로서의 샤워 헤드(234)는 버퍼실(232), 제1 활성화부로서의 제1 전극(244)을 포함한다. 제1 전극(244)에는 가스를 웨이퍼(200)에 분산 공급하는 공[孔(234a)]이 복수 설치된다. 샤워 헤드(234)는 가스 도입구(241)와 처리실(201) 사이에 설치된다. 가스 도입구(241)로부터 도입되는 가스는 샤워 헤드(234)의 버퍼실(232)(분산부)에 공급되고, 공(234a)을 개재하여 처리실(201)에 공급된다.
또한 제1 전극(244)은 도전성의 금속으로 구성되고 가스를 여기(勵起)하기 위한 활성화부(여기부)의 일부로서 구성된다. 제1 전극(244)에는 전자파(고주파 전력이나 마이크로파)가 공급 가능하도록 구성된다. 또한 덮개(231)를 도전성 부재로 구성할 때는 덮개(231)와 제1 전극(244) 사이에 절연 블록(233)이 설치되고, 덮개(231)와 제1 전극부(244) 사이를 절연하는 구성이 된다.
또한 버퍼실(232)에 가스 가이드(235)가 설치되어도 좋다. 가스 가이드(235)는 가스 도입공(241)을 중심으로 해서 웨이퍼(200)의 경방향(徑方向)을 향할수록 지름이 커지는 원추(圓錐) 형상이다. 가스 가이드(235)의 하단의 수평 방향의 지름은 공(234a)이 설치되는 영역의 단부(端部)보다 또한 외주까지 연장해서 형성된다. 가스 가이드(235)가 설치되는 것에 의해 복수의 공(234a) 각각에 균일하게 가스를 공급할 수 있고, 웨이퍼(200)의 면내에 공급되는 활성종의 양을 균일화시킬 수 있다.
<활성화부(플라즈마 생성부)>
활성화부로서의 전극(244)에는 정합기(251)와 고주파 전원부(252)가 접속되고 전자파(고주파 전력이나 마이크로파)가 공급 가능하도록 구성된다. 이에 의해 처리실(201) 내에 공급된 가스를 활성화시킬 수 있다. 또한 전극(244)은 용량 결합형(型)의 플라즈마를 생성 가능하도록 구성된다. 구체적으로는 전극(244)은 도전성의 판 형상으로 형성되고 상부 용기(202a)에 지지되도록 구성된다. 활성화부는 적어도 전극부(244), 정합기(251) 및 고주파 전원부(252)로 구성된다. 또한 활성화부에 임피던스계(254)를 포함시키도록 구성해도 좋다. 또한 제1 전극(244)과 고주파 전원(252) 사이에 임피던스계(254)를 설치해도 좋다. 임피던스계(254)를 설치하는 것에 의해 측정된 임피던스에 기초해서 정합기(251) 및 고주파 전원(252)을 피드백 제어할 수 있다. 또한 고주파 전원(252)은 전력의 설정 정보를 컨트롤러(260)와 송수신 가능하도록 구성된다. 정합기(251)는 정합 정보(진행파 데이터, 반사 물결 데이터)를 컨트롤러(260)와 송수신 가능하도록 구성된다. 임피던스계(254)는 임피던스 정보를 컨트롤러(260)와 송수신 가능하도록 구성된다.
<가스 공급계>
챔버(100a)의 가스 도입구(도 5의 241)에는 가스 공급관(150a)이 접속된다. 마찬가지로 챔버(100b)의 가스 도입구(미도시)에는 가스 공급관(150b)가 접속된다. 챔버(100c) 내지 챔버(100h)의 가스 도입구(미도시)에는 가스 공급관(미도시)가 접속된다. 각 챔버(100a 내지 100h)의 가스 도입구에 접속된 가스 공급관을 통하여 후술하는 제1 가스, 제2 가스 및 퍼지 가스가 공급된다. 이하에서는 챔버(100a)의 가스 도입구(241)에 접속되는 가스 공급계에 대해서 설명하고 다른 챔버(100b 내지 100h)에 대해서는 상세한 설명을 생략한다.
도 4에 제1 가스 공급부, 제2 가스 공급부 및 퍼지 가스 공급부 등의 가스 공급계의 개략 구성도를 도시한다.
도 4에 도시된 바와 같이 가스 공급관(150a)에는 가스 공급관 집합부(140a)가 접속된다. 가스 공급관 집합부(140a)에는 제1 가스(처리 가스) 공급관(113a), 퍼지 가스 공급관(133a) 및 제2 가스(처리 가스) 공급관(123a)이 접속된다. 마찬가지로 가스 공급관(150b)에는 가스 공급관 집합부(140b)가 접속된다. 가스 공급관 집합부(140b)에는 제1 가스(처리 가스) 공급관(113b), 퍼지 가스 공급관(133b) 및 제2 가스(처리 가스) 공급관(123b)이 접속된다.
<제1 가스 공급부>
제1 가스 공급부에는 제1 가스 공급관(113a), MFC(115a) 및 밸브(116a)가 설치된다. 또한 제1 가스 공급관(113a)에 접속되는 제1 가스 공급원(113)을 제1 가스 공급부에 포함시켜서 구성해도 좋다. 또한 처리 가스 원료가 액체나 고체의 경우에는 기화기(180)가 설치되어도 좋다.
<제2 가스 공급부>
제2 가스 공급부에는 제2 가스 공급관(123a), MFC(125a) 및 밸브(126a)가 설치된다. 또한 제2 가스 공급관(123a)에 접속되는 제2 가스 공급원(123)을 제2 가스 공급부에 포함시켜서 구성해도 좋다. 또한 리모트 플라즈마 유닛(124)(RPU)을 설치하고, 제2 가스를 활성화시키도록 구성해도 좋다.
<퍼지 가스 공급부>
퍼지 가스 공급부에는 퍼지 가스 공급관(133a), MFC(135a) 및 밸브(136a)가 설치된다. 또한 퍼지 가스 공급관(133a)에 접속되는 퍼지 가스 공급원(133)을 퍼지 가스 공급부에 포함시켜서 구성해도 좋다.
<제어부>
도 1 내지 도 5에 도시된 바와 같이 기판 처리 시스템(1000) 또는 기판 처리 장치(105)는 기판 처리 시스템(1000) 또는 기판 처리 장치(105)의 각(各) 부(部)의 동작을 제어하는 컨트롤러(260)를 포함한다.
컨트롤러(260)의 개략을 도 6에 도시한다. 제어부(제어 수단)인 컨트롤러(260)는 CPU(Central Processing Unit)(260a), RAM(Random Access Memory)(260b), 기억 장치(260c) 및 I/O 포트(260d)를 구비한 컴퓨터로서 구성된다. RAM(260b), 기억 장치(260c) 및 I/O 포트(260d)는 내부 버스(260e)를 개재하여 CPU(260a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(260)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(261)나 외부 기억 장치(262) 및 송수신부(285) 등이 접속 가능하도록 구성된다.
기억 장치(260c)는 예컨대 플래시 메모리 및 HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(260c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피, 웨이퍼(200)로의 처리에 이용하는 프로세스 레시피를 설정할 때까지의 과정에서 발생하는 연산 데이터나 처리 데이터 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(260)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하 이 프로세스 레시피나 제어 프로그램 등을 총칭해서 단순히 프로그램이라고도 말한다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(260b)는 CPU(260a)에 의해 판독된 프로그램, 연산 데이터 및 처리 데이터 등의 데이터가 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(260d)는 게이트 밸브(1290, 1330, 1350, 1490), 승강부(218), 히터(213), 압력 조정기(227, 1620), 진공 펌프(223, 1630), 정합기(251), 고주파 전원부(252), MFC(115, 125, 135, 1530, 501c, 502c], 밸브(116, 126, 136, 228, 1540, 501b, 502b), RPU(124), 기화기(180), 바이어스 제어부(257), 진공 반송 로봇(1700), 대기 반송 로봇(1220) 및 칠러(803) 등에 접속된다. 또한 임피던스계(254) 등에도 접속되어도 좋다.
연산부로서의 CPU(260a)는 기억 장치(260c)로부터의 제어 프로그램을 판독해서 실행하는 것과 함께, 입출력 장치(261)로부터의 조작 커맨드의 입력 등에 응해서 기억 장치(260c)로부터 프로세스 레시피를 판독하도록 구성된다. 또한 송수신부(285)로부터 입력된 설정값과 기억 장치(260c)에 기억된 프로세스 레시피나 제어 데이터를 비교 및 연산하고, 연산 데이터를 산출 가능하도록 구성된다. 또한 연산 데이터로 대응하는 처리 데이터(프로세스 레시피)의 결정 처리 등을 실행 가능하도록 구성된다. 그리고 CPU(260a)는 판독된 프로세스 레시피의 내용을 따르도록 게이트 밸브(1490)의 개폐 동작, 승강부(218)의 승강 동작, 히터(213)로의 전력 공급 동작, 압력 조정기(227, 228)의 압력 조정 동작, 진공 펌프(223)의 온 오프 제어 MFC(115, 125, 135, 145, 155, 501c, 502c)에서의 가스 유량 제어 동작, RPU(124, 144, 154)의 가스의 활성화 동작, 밸브(116, 126, 136, 237, 146, 156, 501b, 502b)에서의 가스의 온 오프 제어, 정합기(251)의 전력의 정합 동작, 고주파 전원부(252)의 전력 제어 바이어스 제어부(257)의 제어 동작, 임피던스계(254)가 측정한 측정 데이터에 기초한 정합기(251)의 정합 동작 및 고주파 전원(252)의 전력 제어 동작 등을 제어하도록 구성된다. 각 구성의 제어를 수행할 때는 CPU(260a) 내의 송수신부가 프로세스 레시피의 내용을 따른 제어 정보를 송신/수신하는 것에 의해 제어한다.
또한 컨트롤러(260)는 전용의 컴퓨터로서 구성되는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(262[예컨대 자기(磁氣) 테이프, 플렉시블 디스크 및 하드 디스크 등의 자기 디스크, CD 및 DVD 등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리 및 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(262)를 이용해서 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시예에 따른 컨트롤러(260)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(262)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 송수신부(285)나 네트워크(263)(인터넷이나 전용 회선) 등의 통신 수단을 이용하고, 외부 기억 장치(262)를 개재하지 않고 프로그램을 공급하도록 해도 좋다. 또한 기억 장치(260c)나 외부 기억 장치(262)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하 이들을 총칭하여 단순히 기록 매체라고도 한다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(260c) 단체만을 포함하는 경우, 외부 기억 장치(262) 단체만을 포함하는 경우 또는 그들의 양방을 포함하는 경우가 있다.
(2) 반도체 장치(반도체 디바이스)의 제조 공정
다음으로 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서 기판 상에 절연막을 성막하는 공정을 예로서 기판 처리 공정의 플로우를 도 7, 도 8a 내지 도 8c, 도 9a 내지 도 9b를 참조해서 설명한다. 또한 여기서 절연막으로서는 예컨대 질화막으로서의 실리콘 질화(SiN)막이 성막된다. 또한 이 제조 공정의 일 공정은 전술한 기판 처리 시스템(1000) 및 기판 처리 장치(105)[즉 챔버(100)]로 수행된다. 또한 이하의 설명에서 각 부의 동작은 컨트롤러(260)에 의해 제어된다.
본 명세서에서 「웨이퍼」는 「웨이퍼 그 자체」를 의미하거나, 「웨이퍼와 그 표면에 형성된 소정의 층 또는 막의 적층체(집합체)」를 의미할 수 있다. 즉 웨이퍼의 표면에 형성된 소정의 층 또는 막을 포함하여 「웨이퍼」라고 지칭할 수 있다. 또한 본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」에 치환해서 생각하면 좋다.
이하에 기판 처리 공정에 대해서 설명한다.
<처리 장치 설정 공정(S300)>
기판 처리 시에는 우선 컨트롤러(260)는 각 챔버(100)에 의해 수행되는 프로세스 레시피를 설정한다. 예컨대 기억 장치(260c)에 기록된 데이터를 RAM(260b)에 판독하고, I/O 포트를 개재하여 각 부에 설정값이 설정되는 것으로 수행된다. 또한 네트워크(263)를 개재하여 접속된 상위 장치(500)로부터 프로세스 레시피가 송신되는 것에 의해 설정되어도 좋다. 각 부의 동작의 설정 후, 기판 처리 공정(S301)이 수행된다.
<기판 처리 공정(S301)>
기판 처리 공정(S301)에서는 프로세스 레시피에 따라서 웨이퍼(200)를 소정의 온도로 가열한 상태에서 제1 가스 공급부를 제어하여 제1 가스를 처리실(201)에 공급하는 것과 함께, 배기계를 제어하여 처리실(201)을 배기하고 웨이퍼(200)에 처리를 수행한다. 또한 여기서는 제2 가스 공급부를 제어하여, 제2 가스를 제1 가스와 동시에 처리 공간에 존재시켜서 CVD처리를 수행하거나 제1 가스와 제2 가스를 교호적으로 공급해서 사이클릭 처리를 수행해도 좋다. 또한 제2 가스를 플라즈마 상태로 하여 처리하는 경우는 RPU(124)의 사용이나 전극(244)에 고주파 전력을 공급하는 것에 의해 처리실(201) 내에 플라즈마를 생성해도 좋다.
막 처리 방법의 구체예인 사이클릭 처리로서는 다음 방법이 생각된다. 예컨대 제1 가스로서 디클로로실란(SiH2Cl2, dichlorosilane:DCS) 가스를 이용하고, 제2 가스로서 암모니아(NH3) 가스를 이용한 경우가 있다. 제1 공정에서는 DCS가스를 웨이퍼(200)에 공급하고, 제2 공정에서는 NH3가스를 웨이퍼(200)에 공급한다. 제1 공정과 제2 공정 사이에는 퍼지 공정으로서 N2가스를 공급하는 것과 함께, 처리실(201)의 분위기를 배기한다. 이 제1 공정, 퍼지 공정, 제2 공정을 복수 회 수행하는 사이클릭 처리를 수행하는 것에 의해 웨이퍼(200) 상에 실리콘 질화막(SiN막)이 형성된다.
<기판 반출 공정(S302)>
웨이퍼(200)에 소정의 처리가 수행된 후, 웨이퍼(200)가 처리실(201)로부터 취출된다. 처리실(201)로부터의 취득은 진공 반송 로봇(1700)의 암(1900)을 이용해서 수행된다. 취득 시에 진공 반송 로봇(1700)의 암(1800)에 미처리의 웨이퍼(200)가 보지되는 경우는 도 8a 내지 도 8c에 도시하는 제1 반송으로서의 스와프 반송(교환 반송)이 수행되고, 암(1800)에 미처리의 웨이퍼(200)가 보지되지 않는 경우는 도 9a 내지 도 9b에 도시하는 취득 반송만이 수행된다.
여기서 도 8a 내지 도 8c을 참조하여 스와프 반송에 대해서 설명한다. 우선 기판 지지부(210)가 도 5의 파선으로 도시하는 반송 위치에 위치시키는 것에 의해 웨이퍼(200)가 리프터 핀(207)으로 보지되는 상태로 한다. 또한 GV(1490)를 열어 이재실(203)과 TM(1400)을 연통시킨다. 이재실(203)과 TM(1400)이 연통한 후, 암(1900)을 이재실(203)에 삽입하고 처리 완료된 웨이퍼(200)를 암(1900)에 보지시킨다(도 8a 참조). 보지시킨 후, 암(1900)을 TM(1400)에 이동시킨다(도 8b 참조). 암(1900)을 TM(1400)에 이동 후, 엘리베이터(1450)에 의해 암(1800)을 하강시키고 암(1800)을 이재실(203)에 삽입해서 리프터 핀(207) 상에 미처리의 웨이퍼(200)를 재치시킨다(도 8c 참조). 이와 같이 하여 스와프 반송이 수행된다. 이와 같은 스와프 반송에서는 미처리의 웨이퍼(200)를 반송시키는 동안 처리 완료된 웨이퍼(200)가 암(1900) 상에서 대기하는 일이 있다. 이 경우, 대기 중에 웨이퍼(200)의 온도가 저하될 일이 있다. 암(1900) 상에 보지된 웨이퍼(200)의 열은 암(1900)에 전도 또는 암(1800) 상에 보지된 미처리의 웨이퍼(200)에 방사되는 등으로 저하된다.
다음으로 스와프 반송을 수행하지 않는 제2 반송으로서의 취득 반송만 수행하는 경우에 대해서 설명한다. 도 9a 내지 도 9b에 도시된 바와 같이 취득 반송이 수행된다. 도 9b에 도시된 바와 같이, 취득 반송이 완료된 후, 진공 반송 로봇이 로드록 실(1300)에 반송을 시작하기 때문에 대기 시간이 발생하지 않는다. 이로 인해 처리 완료된 웨이퍼(200)의 온도는 저하가 제1 반송 시보다 적고, 처리 완료된 웨이퍼(200)의 온도가 유지된 상태에서 로드록 실(1300)에 반송되도록 이루어진다.
이와 같이 반송 형태에 따라 로드록 실(1300)에 반입되는 처리 완료된 웨이퍼(200)의 온도가 변화된다. 발명자 등이 수행한 연구에서는 약 100℃ 내지 200℃정도 변화되는 것을 찾아냈다.
<온도 데이터 취득 공정(S303)>
처리 완료된 웨이퍼(200)를 이재실(203)로부터 로드록 실(1300)에 반송하는 동안 온도 데이터 취득 공정(S303)이 수행된다. 온도 데이터의 취득은 예컨대 이하의 방법이 있다.
(A) 기판 처리 공정(S301)에서 설정된 웨이퍼(200)의 온도에 대응하는 웨이퍼(200)의 온도 데이터를 기억 장치(260c)로부터 판독한다.
(B) TM(1400)에 설치된 온도 센서(701a, 701b, 701c, 701d, 701e, 701f, 701g, 701h, 701i, 701j)의 적어도 하나 이상에 의해 웨이퍼(200)의 온도를 측정하는 것에 의해 취득한다. 바람직하게는 로드록 실(1300) 전측에 설치된 온도 센서(701i)와 센서(701j)의 어느 하나 또는 양방으로 측정한다. 온도 센서(701i 및 701j 중 적어도 하나)를 이용하는 것에 의해 로드록 실(1300)에 반송되는 직전의 웨이퍼(200)의 온도를 측정할 수 있고, 후술의 판정 공정(S304)에서 웨이퍼(200)에 적합한 냉각 레시피를 판정시킬 수 있다.
<판정 공정(S304)>
판정 공정(S304)에서는 취득한 온도 데이터에 기초해서 처리 완료된 웨이퍼(200)의 온도에 대하여 최적인 냉각 공정으로 변경할 것인지 아닌지에 대한 판정이 수행된다. Yes판정(변경 필요)이라고 판정된 경우는 냉각 레시피 변경 공정(S305)의 뒤에 냉각 공정(S306)을 실행시켜, No판정(변경 불필요)이라고 판정된 경우는 냉각 레시피 변경 공정(S305)을 수행시키지 않고, 냉각 공정(S306)을 실행시킨다. 예컨대 PM(100a, 100b)에서 제1 온도에서의 처리가 수행되고, PM(100c, 100d)에서 제2 온도에서의 처리가 수행되는 경우가 있다. 여기서 제2 온도>제1 온도로 한다. PM(100a)에서 처리된 웨이퍼(200)의 냉각 후에 PM(100b)에서 처리된 웨이퍼(200)를 냉각하는 경우에는 No판정으로 하고, PM(100b)에서 처리된 웨이퍼(200)의 냉각 후에 PM(100c)에서 처리된 웨이퍼(200)를 냉각할 때는 Yes판정으로 한다.
<냉각 레시피 변경 공정(S305)>
냉각 레시피의 변경 공정(S305)에서는 도 10에 도시된 바와 같이 기억 장치(260c)에 격납된 냉각 레시피 테이블로부터 웨이퍼(200)의 온도에 대응하는 냉각 레시피 A1 내지 냉각 레시피 A5를 판독해서 로드록 실(1300) 내에 공급되는 불활성 가스 유량이나 냉매 유로(802a, 802b)에 공급되는 냉매의 유량이 변경된다. 도 10은 웨이퍼(200)의 온도에 대응하는 냉각 레시피의 대응 테이블의 예다. 도 10의 예에서는 웨이퍼 온도가 실온(RT)일 때는 냉각 레시피가 선택되지 않고, 200℃ 이하의 경우는 냉각 레시피 1을 A2로부터 판독한다. 300℃ 이하의 경우는 냉각 레시피 2를 A3으로부터 판독한다. 400℃ 이하의 경우는 냉각 레시피 3을 A4로부터 판독한다. 500℃ 이하의 경우는 냉각 레시피 4를 A5로부터 판독한다. 또한 온도대는 적절히 변경 가능하도록 구성되어도 좋다. 또한 냉각 레시피 테이블에 대응하는 레시피 데이터가 없는 경우는 도 11에 도시하는 설정 데이터 테이블로부터 설정 데이터를 직접 판독하도록 구성해도 좋고, 설정 데이터를 소정의 데이터 범위 내에서 변경하도록 구성해도 좋다.
냉각 레시피가 판독된 후, 도 11에 도시하는 각 냉각 레시피에 대응하는 설정 데이터 테이블을 기억 장치(260c)로부터 판독해서 변경된다. 여기서 도 11은 냉각 레시피에 대응하는 설정하는 각 유량은 예컨대 웨이퍼(200)의 온도가 높아질수록 증대시키도록 설정한다. 또한 각 유량은 스와프 반송의 유무에 의해도 변경되도록 구성해도 좋다. 이와 같이 변경시키는 것에 의해 웨이퍼(200)의 냉각 시간을 단축시킬 수 있다. 구체적으로는 냉각 레시피 1이 판독되고, 교환 반송이 있는 경우는 불활성 가스 유량:50, 칠러 유량 1이 판독되어 각 부를 설정한다.
<냉각 공정(S306)>
냉각 공정(S306)에서는 컨트롤러(260c)로부터 판독된 냉각 레시피를 기초로 소정 유량의 불활성 가스를 로드록 실(1300)에 공급하고, 또한 칠러(803)로부터 냉매 유로(802a, 802b)에 소정 유량의 냉매를 공급하고, 처리 완료된 웨이퍼(200)를 냉각한다. 또한 냉매 유로(802a, 802b)로의 냉매의 공급은 처리 완료된 웨이퍼(200)가 제2 지지부(1311c, 1311d)에 재치되었을 때에 시작되면 좋고, 웨이퍼(200)가 재치되기 전부터 공급하고, 냉각부(801a, 801b)를 사전에 냉각해도 좋다. 사전에 냉각하는 것에 의해 많은 처리 완료된 웨이퍼(200)를 냉각해도 냉각부(801a, 801b)의 온도 상승을 억제시킬 수 있다. 또한 바람직하게는 n매 째의 X온도로 처리된 웨이퍼(200)를 냉각한 후이며, n+1매 째의 Y온도로 처리된 웨이퍼(200)가 반송되기 전에 냉매 유로(802a, 802b)에 공급하는 냉매 유량을 증가시키도록 구성해도 좋다. 여기서 n은 자연수, X, Y는 실수이며, X온도<Y온도로 한다. 이와 같이 냉각부(801a, 801b)를 냉각하는 것에 의해 n+1매 째의 웨이퍼(200)의 냉각 시간을 단축시킬 수 있다.
이상 본 개시의 일 실시예를 구체적으로 설명했지만 본 개시는 전술한 실시예에 한정되는 것이 아니고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경 가능하다.
전술에서는 제1 가스와 제2 가스를 교호적으로 공급해서 성막하는 방법에 대해서 기재했지만 다른 방법에도 적용 가능하다. 예컨대 제1 가스와 제2 가스의 공급 타이밍이 중첩되는 방법이다.
또한 전술에서는 2종류의 가스를 공급해서 처리하는 방법에 대해서 기재했지만 1종류의 가스를 이용한 처리이어도 좋다.
또한 전술에서는 성막 처리에 대해서 기재했지만 다른 처리에도 적용 가능하다. 예컨대 플라즈마를 이용한 확산 처리, 산화 처리, 질화 처리, 산질화 처리, 환원 처리, 산화 환원 처리, 에칭 처리 및 가열 처리 등이 있다. 예컨대 반응 가스만을 이용해서 기판 표면이나 기판으로 형성된 막을 플라즈마 산화 처리나 플라즈마 질화 처리할 때에도 본 개시를 적용할 수 있다. 또한 반응 가스만을 이용한 플라즈마 어닐링 처리에도 적용할 수 있다. 이들의 처리를 제1 처리로 하고, 그 후, 전술한 제2 처리를 수행시키도록 해도 좋다.
또한 전술에서는 반도체 장치의 제조 공정에 대해서 기재했지만 실시예에 따른 발명은 반도체 장치의 제조 공정 이외에도 적용 가능하다. 예컨대 액정 디바이스의 제조 공정, 태양 전지의 제조 공정, 발광 디바이스의 제조 공정, 유리 기판의 처리 공정, 세라믹 기판의 처리 공정 및 도전성 기판의 처리 공정 등의 기판 처리가 있다.
또한 전술에서는 원료 가스로서 실리콘 함유 가스, 반응 가스로서 질소 함유 가스를 이용해서 실리콘 질화막을 형성하는 예를 제시했지만 다른 가스를 이용한 성막에도 적용 가능하다. 예컨대 산소 함유 막, 질소 함유 막, 탄소 함유 막, 붕소 함유 막 및 금속 함유 막과 이들의 원소가 복수 함유한 막 등이 있다. 또한 이들의 막으로서는 예컨대 AlO막, ZrO막, HfO막, HfAlO막, ZrAlO막, SiC막, SiCN막, SiBN막, TiN막, TiC막 및 TiAlC막 등이 있다.
또한 전술에서는 하나의 처리실에서 1매의 기판을 처리하는 장치 구성을 도시했지만 이에 한정되지 않고, 복수 매의 기판을 수평 방향 또는 수직 방향으로 배열한 장치이어도 좋다.
100: 처리 장치 200: 웨이퍼(기판)
201: 처리실 202: 처리 용기
212: 기판 재치대 213: 히터
221: 제1 배기구 234: 샤워 헤드
244: 제1 전극 260: 컨트롤러

Claims (14)

  1. 기판을 처리하는 복수의 처리실;
    상기 복수의 처리실의 각각에 설치되고 상기 기판을 소정 온도로 가열하는 가열부;
    상기 복수의 처리실에 접속된 진공 반송실;
    상기 진공 반송실에 설치되고, 상기 기판을 복수 매 반송 가능한 반송 로봇;
    상기 진공 반송실에 접속된 로드록 실;
    상기 로드록 실 내에 설치되고, 상기 처리실에서 처리된 기판을 지지하는 지지부;
    상기 로드록 실에 불활성 가스를 공급하는 불활성 가스 공급부;
    상기 기판의 온도에 대응하는 냉각 레시피가 기록된 기억 장치;
    상기 복수의 처리실과 상기 진공 반송실과는 게이트 밸브를 개재하여 접속되고, 상기 진공 반송실 내이며, 상기 게이트 밸브의 측방에 상기 기판의 온도를 측정하는 온도 센서; 및
    상기 기판을 상기 처리실에서 소정 온도로 가열 처리한 후, 상기 기판을 상기 처리실로부터 상기 로드록 실에 반송하고, 상기 온도 센서로 측정한 온도에 대응하는 상기 냉각 레시피를 상기 기억 장치로부터 판독하고, 상기 냉각 레시피에 기초해서 상기 기판에 상기 불활성 가스를 공급하여 상기 기판을 냉각하도록 상기 불활성 가스 공급부를 제어하는 제어부;
    를 포함하는 기판 처리 장치.
  2. 삭제
  3. 제1항에 있어서,
    상기 반송 로봇은 2개의 암을 포함하고, 상기 제어부는 상기 2개의 암 중 일방(一方)의 암으로 상기 처리실로부터 처리 완료된 기판을 반출하고, 타방의 암으로 미처리의 기판을 반입한 후, 상기 반출한 기판을 상기 로드록 실에 반송하는 제1 반송을 수행하는 경우와, 상기 2개의 암 중 일방의 암으로 상기 처리실로부터 처리 완료된 기판을 반출하고, 타방의 암으로 미처리의 기판을 반입하지 않고 상기 처리 완료된 기판을 상기 로드록 실에 반송하는 제2 반송을 수행하는 경우에서 상기 기억 장치로부터 판독된 냉각 레시피의 상기 불활성 가스의 공급량을 다르게 해서 상기 기판을 냉각하도록 상기 반송 로봇과 상기 불활성 가스 공급부를 제어하는 기판 처리 장치.
  4. 제3항에 있어서,
    상기 제어부는 상기 제2 반송한 경우의 상기 불활성 가스의 공급량을 상기 제1 반송한 경우의 상기 불활성 가스의 공급량보다 많게 하도록 상기 불활성 가스 공급부를 설정해서 상기 반송 로봇과 상기 불활성 가스 공급부를 제어하는 기판 처리 장치.
  5. 제4항에 있어서,
    상기 로드록 실 내이며, 상기 기판과 대향하는 위치에 설치되고 내부에 냉매가 공급되는 냉각부를 포함하고, 상기 제어부는 상기 냉각 레시피에 기초해서 상기 냉매를 공급시키도록 상기 냉각부를 제어하는 기판 처리 장치.
  6. 제3항에 있어서,
    상기 로드록 실 내이며, 상기 기판과 대향하는 위치에 설치되고 내부에 냉매가 공급되는 냉각부를 포함하고, 상기 제어부는 상기 냉각 레시피에 기초해서 상기 냉매를 공급시키도록 상기 냉각부를 제어하는 기판 처리 장치.
  7. 제1항에 있어서,
    상기 로드록 실 내이며, 상기 기판과 대향하는 위치에 설치되고 내부에 냉매가 공급되는 냉각부를 포함하고, 상기 제어부는 상기 냉각 레시피에 기초해서 상기 냉매를 공급시키도록 상기 냉각부를 제어하는 기판 처리 장치.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 복수의 기판을 복수의 처리실의 각각에서 가열 처리하는 공정;
    상기 가열 처리한 기판을 상기 복수의 처리실에 접속된 진공 반송실 내에 설치된 반송 로봇으로 상기 처리실로부터 상기 진공 반송실에 접속된 로드록 실에 반송하는 공정; 및
    상기 복수의 처리실과 상기 진공 반송실과는 게이트 밸브를 개재하여 접속되고 상기 진공 반송실 내이며 상기 게이트 밸브의 측방에 설치되어 상기 기판의 온도를 측정하는 온도 센서로 측정한 온도에 대응하는 냉각 레시피를 기억 장치로부터 판독하고, 상기 로드록 실에서 상기 냉각 레시피에 기초해서 상기 기판에 불활성 가스를 공급하여 상기 기판을 냉각하는 공정;
    을 포함하는 반도체 장치의 제조 방법.
  14. 복수의 기판을 복수의 처리실의 각각에서 가열 처리시키는 순서;
    상기 가열 처리한 기판을 상기 복수의 처리실에 접속된 진공 반송실 내에 설치된 반송 로봇으로 상기 처리실로부터 상기 진공 반송실에 접속된 로드록 실에 반송시키는 순서; 및
    상기 복수의 처리실과 상기 진공 반송실과는 게이트 밸브를 개재하여 접속되고 상기 진공 반송실 내이며 상기 게이트 밸브의 측방에 설치되어 상기 기판의 온도를 측정하는 온도 센서로 측정한 온도에 대응하는 냉각 레시피를 기억 장치로부터 판독하고, 상기 로드록 실에서 상기 냉각 레시피에 기초해서 상기 기판에 불활성 가스를 공급하여 상기 기판을 냉각시키는 순서;
    를 컴퓨터에 의해 기판 처리 장치에 실행시키는 프로그램이 기록된 기록 매체.
KR1020170025850A 2016-09-28 2017-02-28 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 KR101882773B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016189640A JP6270952B1 (ja) 2016-09-28 2016-09-28 基板処理装置、半導体装置の製造方法および記録媒体。
JPJP-P-2016-189640 2016-09-28

Publications (2)

Publication Number Publication Date
KR20180035108A KR20180035108A (ko) 2018-04-05
KR101882773B1 true KR101882773B1 (ko) 2018-07-27

Family

ID=60935064

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170025850A KR101882773B1 (ko) 2016-09-28 2017-02-28 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (5)

Country Link
US (1) US9870964B1 (ko)
JP (1) JP6270952B1 (ko)
KR (1) KR101882773B1 (ko)
CN (1) CN107871653B (ko)
TW (1) TWI632632B (ko)

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020017645A (ja) 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7175151B2 (ja) 2018-09-28 2022-11-18 東京エレクトロン株式会社 搬送方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7154986B2 (ja) * 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7163764B2 (ja) * 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021044623A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11597999B2 (en) * 2020-02-24 2023-03-07 Sky Tech Inc. Method and device for decreasing generation of surface oxide of aluminum nitride
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP6990800B1 (ja) * 2020-03-24 2022-01-14 株式会社日立ハイテク 真空処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004193307A (ja) 2002-12-11 2004-07-08 Matsushita Electric Ind Co Ltd 薄膜製造装置
JP2009200241A (ja) 2008-02-21 2009-09-03 Ulvac Japan Ltd 基板保持装置、基板ホルダ、真空処理装置、基板の温度制御方法
JP2011091373A (ja) * 2009-09-28 2011-05-06 Tokyo Electron Ltd 被処理体の冷却方法、冷却装置及びコンピュータ読み取り可能な記憶媒体

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947435A (ja) 1982-09-10 1984-03-17 株式会社クラレ 仮撚絡合加工糸の製造方法
JP2675011B2 (ja) 1987-08-12 1997-11-12 株式会社日立製作所 熱処理装置及び熱処理方法
JP3406069B2 (ja) 1994-06-30 2003-05-12 株式会社日立国際電気 半導体製造装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP2002033280A (ja) * 2000-07-13 2002-01-31 Ulvac Japan Ltd 真空成膜装置、仕込・取出室及び仕込・取出室内部の排気方法
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
JP2003105546A (ja) * 2001-10-02 2003-04-09 Matsushita Electric Ind Co Ltd 化学的気相成長装置及びそれを用いた化学的気相成長方法
JP4517595B2 (ja) * 2003-06-26 2010-08-04 東京エレクトロン株式会社 被処理体の搬送方法
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5646419B2 (ja) * 2011-09-09 2014-12-24 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
JP5947435B1 (ja) 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004193307A (ja) 2002-12-11 2004-07-08 Matsushita Electric Ind Co Ltd 薄膜製造装置
JP2009200241A (ja) 2008-02-21 2009-09-03 Ulvac Japan Ltd 基板保持装置、基板ホルダ、真空処理装置、基板の温度制御方法
JP2011091373A (ja) * 2009-09-28 2011-05-06 Tokyo Electron Ltd 被処理体の冷却方法、冷却装置及びコンピュータ読み取り可能な記憶媒体

Also Published As

Publication number Publication date
JP2018053298A (ja) 2018-04-05
US9870964B1 (en) 2018-01-16
TWI632632B (zh) 2018-08-11
KR20180035108A (ko) 2018-04-05
CN107871653B (zh) 2020-06-16
CN107871653A (zh) 2018-04-03
TW201814804A (zh) 2018-04-16
JP6270952B1 (ja) 2018-01-31

Similar Documents

Publication Publication Date Title
KR101882773B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10914005B2 (en) Substrate processing apparatus having gas guide capable of suppressing gas diffusion
JP6830464B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体。
US20170062254A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR102311459B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
CN111052336B (zh) 基板处理装置、半导体装置的制造方法及记录介质
CN110429049B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
US11289350B2 (en) Method of manufacturing semiconductor device
US10651068B1 (en) Method of manufacturing semiconductor device by setting process chamber to maintenance enable state
KR101916394B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US11967513B2 (en) Substrate processing apparatus
CN110767586B (zh) 基板处理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant