CN111052336B - 基板处理装置、半导体装置的制造方法及记录介质 - Google Patents

基板处理装置、半导体装置的制造方法及记录介质 Download PDF

Info

Publication number
CN111052336B
CN111052336B CN201780094079.0A CN201780094079A CN111052336B CN 111052336 B CN111052336 B CN 111052336B CN 201780094079 A CN201780094079 A CN 201780094079A CN 111052336 B CN111052336 B CN 111052336B
Authority
CN
China
Prior art keywords
chamber
substrate
pressure
cooling
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780094079.0A
Other languages
English (en)
Other versions
CN111052336A (zh
Inventor
广地志有
野上孝志
柳泽爱彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN111052336A publication Critical patent/CN111052336A/zh
Application granted granted Critical
Publication of CN111052336B publication Critical patent/CN111052336B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种即便在设置有基板的冷却工序的情况下也能够抑制生产性的下降的电磁波处理技术。提供如下技术,具有:至少两个处理室,其从搬运基板的搬运室被搬运来所述基板,并对所述基板进行预定的处理;以及冷却室,其与所述搬运室在空间上连结,与所述至少两个处理室等距离地配置在所述搬运室的侧壁,具备第一气体供给部和排气部,该第一气体供给部以第一气体流量供给对内部的气氛进行吹扫的吹扫气体,该排气部具有排出所述吹扫气体的排气配管。

Description

基板处理装置、半导体装置的制造方法及记录介质
技术领域
本发明涉及基板处理装置、半导体装置的制造方法及记录介质。
背景技术
作为半导体装置(半导体设备)的制造工序的一个工序,例如具有以退火处理为代表的重整处理,在该退火处理中,使用加热装置对处理室内的基板进行加热,使成膜于基板的表面的薄膜中的组成、晶体构造变化,或者对成膜后的薄膜内的晶体缺陷等进行修复。在近年来的半导体设备中,微细化、高集成化变得显著,伴随于此,要求针对形成有具有高长宽比的图案的高密度基板进行重整处理。作为这样的针对高密度基板的重整处理方法,研究了使用电磁波的热处理方法。
在先技术文献
专利文献
专利文献1:日本特开2015-070045
发明内容
发明要解决的课题
在现有的使用电磁波的处理中,需要设置冷却工序,在该冷却工序中,在处理室内对通过热处理而加热成高温的基板进行冷却,因此,有时生产性会下降。
本发明的目的在于,提供一种即便在设置了基板的冷却工序的情况下也能够抑制生产性的下降的电磁波处理技术。
解决方案
根据本发明的一方案,提供如下技术,具有:
至少两个处理室,其从搬运基板的搬运室被搬运来所述基板,并对所述基板进行预定的处理;以及
冷却室,其与所述搬运室在空间上连结,且与所述至少两个处理室等距离地配置在所述搬运室的侧壁,该冷却室具备第一气体供给部和排气部,该第一气体供给部以第一气体流量供给对内部的气氛进行吹扫的吹扫气体,该排气部具有排出所述吹扫气体的排气配管。
发明效果
根据本发明,能够提供即便在设置了基板的冷却工序的情况下也能够抑制生产性的下降的电磁波处理技术。
附图说明
图1是以处理炉的位置示出在本发明的实施方式中优选使用的基板处理装置的概要结构的纵向剖视图。
图2是示出在本发明的实施方式中优选使用的基板处理装置的概要结构的横向剖视图。
图3是以纵向剖视图示出在本发明的实施方式中优选使用的基板处理装置的处理炉部分的概要结构图。
图4是以冷却室的位置示出在本发明的实施方式中优选使用的基板处理装置的概要结构的纵向剖视图。
图5(A)是示意性地示出将晶片向冷却室搬运的方法的图。图5(B)是示意性地示出将冷却完成后的晶片从冷却室搬出的方法的图。
图6是示出在本发明的实施方式中优选使用的搬运室的吹扫气体循环构造的图。
图7是在本发明中优选使用的基板处理装置的控制器的概要结构图。
图8是示出本发明中的基板处理的流程的图。
图9(A)是示出通过处理室的闸阀开放而使搬运室内压力下降了时的各部分的控制内容的图。图9((B)是示出通过处理室的闸阀开放而使搬运室内压力上升了时的各部分的控制内容的图。
具体实施方式
<本发明的一个实施方式>
以下基于附图对本发明的一个实施方式进行说明。
(1)基板处理装置的结构
在本实施方式中,本发明的基板处理装置100构成为对一张或多张晶片实施各种热处理的单张式热处理装置,作为进行后述的使用电磁波的退火处理(重整处理)的装置来进行说明。在本实施方式中的基板处理装置100中,作为将作为基板的晶片200收容于内部的收纳容器(载体),使用FOUP(Front Opening Unified Pod:以下称为晶片盒)110。晶片盒110也用作用于将晶片200在各种基板处理装置之间搬运的搬运容器。
如图1及图2所示,基板处理装置100具备:搬运框体(框体)202,其在内部具有搬运晶片200的搬运室(搬运区)203;以及作为后述的处理容器的壳体102-1、102-2,它们设置于搬运框体202的侧壁,分别在内部具有对晶片200进行处理的处理室201-1、201-2。另外,在处理室201-1、201-2之间,设置有形成后述的冷却室204的冷却壳体(冷却容器、冷却框体)109。在搬运室203的框体前侧即面朝图1的右侧(面朝图2的下侧),配置有作为晶片盒开闭机构的装载口单元(LP)106,该装载口单元(LP)106用于对晶片盒110的盖进行开闭,且将晶片200相对于搬运室203搬入、搬出。装载口单元106具备框体106a、载台106b以及开启部106c,载台106b构成为,载置晶片盒110,使晶片盒110接近在搬运室203的框体前方形成的基板搬入搬出口134,通过开启部106c使设置于晶片盒110的未图示的盖开闭。另外,装载口单元106也可以具有能够利用N2气体等吹扫气体对晶片盒110内部进行吹扫的功能。另外,框体202具有用于使N2等吹扫气体在搬运室203内循环的后述的吹扫气体循环构造。
在搬运室203的框体202后侧即面朝图1的左侧(面朝图2的上侧),分别配置有对处理室201-1、202-2进行开闭的闸阀(GV)205-1、205-2。在搬运室203设置有移栽晶片200的作为基板移载机构(基板移载机器人)的移载机125。移载机125包括:载置晶片200的作为载置部的镊钳(臂)125a-1、125a-2;能够使镊钳125a-1、125a-2分别沿水平方向旋转或直动的移载装置125b;以及使移载装置125b升降的移载装置升降机125c。构成为通过镊钳125a-1、125a-2、移载装置125b、移载装置升降机125c的连续动作,能够向后述的基板保持件217、晶片盒110装填(装料)或卸载(卸料)晶片200。以后,在无需特别区分说明的情况下,壳体102-1、102-2、处理室201-1、201-2、镊钳125a-1及125a-2分别仅记载为壳体102、处理室201、镊钳125a。
(处理炉)
在由图1的虚线包围的区域A中构成具有图3所示的基板处理构造的处理炉。如图2所示,在本实施方式中设置有多个处理炉,但由于处理炉的结构相同,因此,仅说明一个结构,省略说明其他处理炉的结构。
如图3所示,处理炉具有由金属等反射电磁波的材料构成的作为腔室(处理容器)的壳体102。另外,构成为由金属材料构成的凸缘盖(cap flange)(堵塞板)104经由作为封固构件(密封构件)的O型圈(未图示)而堵塞壳体102的上端。主要将壳体102和凸缘盖104的内侧空间构成为对硅晶片等基板进行处理的处理室201。也可以在壳体102的内部设置使电磁波透过的石英制的未图示的反应管,还可以以反应管内部成为处理室的方式构成处理容器。另外,也可以不设置凸缘盖104,使用顶棚堵塞了的壳体102来构成处理室201。
在处理室201内设置有载置台210,在载置台210的上表面载置有对作为基板的晶片200进行保持的作为基板保持件的舟皿217。在舟皿217中,以预定的间隔保持有作为处理对象的晶片200、以及以夹住晶片200的方式载置于晶片200的垂直方向上下的作为隔热板的石英板101a、101b。另外,在石英板101a、101b与各个晶片200之间,例如也可以载置加热台(也称为能量转换构件、辐射板、均热板)103a、103b,该加热台103a、103b对由硅板(Si板)或碳化硅板(SiC板)等吸收电磁波而将自身加热的电介质等电介物质形成的晶片200间接地进行加热。通过这样构成,能够利用来自加热台103a、103b的辐射热,更加有效地对晶片200均匀地加热。在本实施方式中,石英板101a及101b分别与加热台103a及103b由相同的部件构成,以后,在无需特别区分说明的情况下,称为石英板101、加热台103来说明。
作为处理容器的壳体102例如构成为横截面为圆形且平坦的密闭容器。另外,作为下部容器的搬运容器202例如由铝(Al)或不锈钢(SUS)等金属材料或者石英等构成。需要说明的是,也有时将由壳体102包围的空间称为作为处理空间的处理室201或反应区201,将由搬运容器202包围的空间称为作为搬运空间的搬运室203或搬运区203。需要说明的是,处理室201与搬运室203不限于如本实施方式那样在水平方向上相邻地构成,也可以构成为在垂直方向上相邻,使具有预定构造的基板保持件升降。
如图1、图2及图3所示,在搬运容器202的侧面设置有与闸阀205相邻的基板搬入搬出口206,晶片200经由基板搬入搬出口206而在处理室201与搬运室203之间进行移动。在闸阀205或基板搬入搬出口206的周边,设置有具有所使用的电磁波的1/4波长的长度的扼流构造,来应对后述的电磁波的泄漏。
在壳体102的侧面设置有之后详述的作为加热装置的电磁波供给部,将从电磁波供给部供给的微波等电磁波向处理室201导入而对晶片200等进行加热,从而对晶片200进行处理。
载置台210被作为旋转轴的轴255支承。轴255贯穿搬运容器202的底部,并且在搬运容器202的外部与进行旋转动作的驱动机构267连接。通过使驱动机构267工作而使轴255及载置台210旋转,能够使载置于舟皿217上的晶片200旋转。需要说明的是,轴255下端部的周围被波纹管212覆盖,处理室201及搬运区203内被气密保持。
这里,载置台210也可以构成为,根据基板搬入搬出口206的高度,通过驱动机构267以使晶片200在晶片200的搬运时成为晶片搬运位置的方式上升或下降,在晶片200的处理时,晶片200上升或下降至处理室201内的处理位置(晶片处理位置)。
在处理室201的下方或载置台210的外周侧,设置有排出处理室201的气氛的排气部。如图1所示,在排气部设置有排气口221。在排气口221连接有排气管231,在排气管231依次串联地连接有根据处理室201内的压力来控制阀开度的APC阀等压力调整器244、真空泵246。
这里,压力调整器244只要能够接收处理室201内的压力信息(来自后述的压力传感器245的反馈信号)并调整排气量即可,不限于APC阀,也可以构成为同时使用通常的开闭阀和压力调整阀。
主要由排气口221、排气管231、压力调整器244构成排气部(也称为排气系统或排气线)。需要说明的是,也可以构成为以包围载置台210的方式设置排气口,能够从晶片200的整周排出气体。另外,也可以对排气部的结构追加真空泵246。
在凸缘盖104,设置有用于将不活性气体、原料气体、反应气体等各种基板处理用的处理气体向处理室201内供给的气体供给管232。
在气体供给管232中从上游起依次设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)241及作为开闭阀的阀243。在气体供给管232的上游侧连接有例如作为不活性气体的氮(N2)气体源,经由MFC241、阀243向处理室201内供给。在基板处理时使用多种气体的情况下,能够通过使用如下结构来供给多种气体,该结构为,在气体供给管232的比阀243靠下游侧的位置,连接有从上游侧起依次设置有作为流量控制器的MFC及作为开闭阀的阀的气体供给管。也可以按照气体种类而设置配置有MFC、阀的气体供给管。
主要由气体供给管232、MFC241、阀243构成气体供给系统(气体供给部)。在气体供给系统中流动不活性气体的情况下,也称为不活性气体供给系统。作为不活性气体,除了N2气体之外,例如能够使用Ar气体、He气体、Ne气体、Xe气体等稀有气体。
在凸缘盖104设置有作为非接触式的温度测定装置的温度传感器263。通过基于由温度传感器263检测到的温度信息来调整后述的微波振荡器655的输出,从而对基板进行加热,使基板温度成为所希望的温度分布。温度传感器263例如由IR(Infrared Radiation)传感器等放射温度计构成。温度传感器263设置为对石英板101a的表面温度或者晶片200的表面温度进行测定。在设置有上述的作为发热体的加热台的情况下,也可以构成为对加热台的表面温度进行测定。需要说明的是,在本发明中记载为晶片200的温度(晶片温度)的情况下,作为指如下三种情况的晶片温度来说明:表示根据后述的温度转换数据转换后的晶片温度、即推测出的晶片温度的情况;表示利用温度传感器263直接对晶片200的温度进行测定而获取到的温度的情况;以及表示这两方的情况。
也可以利用温度传感器263对石英板101或加热台103以及晶片200分别预先获取温度变化的推移,由此将表示石英板101或加热台103以及晶片200的温度的相关关系的温度转换数据存储于存储装置121c或外部存储装置123。通过像这样预先制作温度转换数据,关于晶片200的温度,通过仅测定石英板101的温度就能够推测晶片200的温度,能够基于推测出的晶片200的温度而进行微波振荡器655的输出,即,加热装置的控制。
需要说明的是,作为测定基板的温度的单元,不限于上述的放射温度计,也可以使用热电偶来进行温度测定,还可以同时使用热电偶和非接触式温度计来进行温度测定。但是,在使用热电偶进行了温度测定的情况下,需要将热电偶配置在晶片200的附近来进行温度测定。即,需要在处理室201内配置热电偶,因此,导致热电偶本身被从后述的微波振荡器供给的微波加热,因此,无法准确地测温。因此,优选将非接触式温度计用作温度传感器263。
另外,温度传感器263不限于设置于凸缘盖104,也可以设置于载置台210。另外,温度传感器263不仅仅是直接设置于凸缘盖104或载置台210,也可以构成为,利用镜等使来自设置于凸缘盖104或载置台210的测定窗的放射光反射而间接地进行测定。此外,温度传感器263不限于设置1个,也可以设置多个。
在壳体102的侧壁设置有电磁波导入口653-1、653-2。在电磁波导入口653-1、653-2分别连接有用于向处理室201内供给电磁波(微波)的导波管654-1、654-2各自的一端。在导波管654-1、654-2各自的另一端连接有向处理室201内供给电磁波而进行加热的作为加热源的微波振荡器(电磁波源)655-1、655-2。微波振荡器655-1、655-2将微波等电磁波分别向导波管654-1、654-2供给。另外,微波振荡器655-1、655-2使用磁控管或速调管等。以后,电磁波导入口653-1、653-2、导波管654-1、654-2、微波振荡器655-1、655-2在无需特别对它们进行区分说明的情况下,记载为电磁波导入口653、导波管654、微波振荡器655来说明。
由微波振荡器655产生的电磁波的频率优选被控制为13.56MHz以上且24.125GHz以下的频率范围。更优选控制为2.45GHz或5.8GHz的频率。这里,微波振荡器655-1、655-2各自的频率可以为相同的频率,也可以设置为不同的频率。
另外,在本实施方式中,微波振荡器655被记载为在壳体102的侧面配置有两个,但不限于此,设置一个以上即可,另外,也可以配置为设置于与壳体102的对置侧面等不同的侧面。主要由微波振荡器655-1、655-2、导波管654-1、654-2及电磁波导入口653-1、653-2构成作为加热装置的电磁波供给部(也称为电磁波供给装置、微波供给部、微波供给装置)。
在微波振荡器655-1、655-2分别连接有后述的控制器121。在控制器121连接有对收容于处理室201内的石英板101a或101b、或者晶片200的温度进行测定的温度传感器263。温度传感器263通过上述方法对石英板101或晶片200的温度进行测定并发送到控制器121,由控制器121控制微波振荡器655-1、655-2的输出,从而控制晶片200的加热。需要说明的是,作为由加热装置进行的加热控制的方法,能够使用如下方法等:通过控制向微波振荡器655输入的电压而控制晶片200的加热;通过变更将微波振荡器655的电源接通的时间与将该电源断开的时间的比率来控制晶片200的加热。
这里,通过从控制器121发送的相同的控制信号来控制微波振荡器655-1、655-2。但是,不限于此,也可以构成为,通过从控制器121向微波振荡器655-1、655-2分别发送不同的控制信号,来独立地控制微波振荡器655-1、655-2。
(冷却室)
如图2及图4所示,在搬运室203的侧方且在处理室201-1、201-2之间距处理室201-1,201-2为大致相等的距离的位置,具体而言,以距处理室201-1、201-2的基板搬入搬出口206的搬运距离为大致相同距离的方式通过冷却壳体109而形成有对实施了预定的基板处理的晶片200进行冷却的作为冷却区域的冷却室(也称为冷却区、冷却部)204。在冷却室204的内部,设置有具有与作为基板保持件的舟皿217同样的构造的晶片冷却用载置件(也称为冷却载台,以下记载为CS)108。如后述的图5所示,CS108构成为,能够利用多个晶片保持槽107a~107d将多张晶片200水平保持为垂直多层。另外,在冷却壳体109设置有作为冷却室用吹扫气体供给部的气体供给喷嘴(冷却室用气体供给喷嘴)401,该气体供给喷嘴401以预先决定的第一气体流量经由气体供给配管(冷却室用气体供给配管)404而供给作为吹扫冷却室204内的气氛的吹扫气体(冷却室用吹扫气体)的不活性气体。气体供给喷嘴401也可以是喷嘴端部开口的开口喷嘴,优选使用在面向CS108侧的喷嘴侧壁设置有多个气体供给口的多孔喷嘴。另外,也可以设置多个气体供给喷嘴401。需要说明的是,从气体供给喷嘴401供给的吹扫气体也可以用作对载置于CS108的处理后的晶片200进行冷却的冷却气体。
另外,在冷却室204设置有用于排出冷却室用吹扫气体的排气口405、用于调节气体排气量的作为冷却室用排气阀的开闭阀(或APC阀)406、作为冷却室用排气配管的排气配管407。在开闭阀406的后级的排气配管407中也可以设置用于积极地排出冷却室204内的气氛的未图示的冷却室用真空泵。排气配管407也可以与用于使后述的搬运室203内的气氛循环的吹扫气体循环构造连接而循环。在该情况下,排气配管407优选与后述的图6所示的循环通道168A连接,更优选的是,与循环通道168A的下游且成为清洁单元166的紧前面的上游位置连接(合流)。
另外,在冷却壳体109设置有对冷却室204内的压力进行检测的冷却室用压力传感器(冷却室用压力计)408,通过后述的控制器121来控制作为冷却室用MFC的MFC403、作为冷却室用阀的阀402而实施吹扫气体的供给或供给停止,并且,控制开闭阀405和冷却室用真空泵而控制吹扫气体的排气或排气停止,使得由搬运室用压力传感器(搬运室用压力计)180检测到的搬运室内的压力与冷却室204内的差压成为恒定。通过这些控制来进行冷却室204内的压力控制及载置于CS108的晶片200的温度控制。需要说明的是,主要由气体供给喷嘴401、阀402、MFC403、气体供给配管404构成冷却室用气体供给系统(第一气体供给部),另外,主要由排气口405、开闭阀406、排气配管407构成冷却室用气体排气系统(冷却室用气体排气部)。在冷却室用气体排气系统中也可以包括冷却室用真空泵。另外,在冷却室204内也可以设置用于对载置于CS108的晶片200的温度进行测定的未图示的温度传感器。这里,在无需特别区分地说明的情况下,晶片保持槽107a~107d分别仅记载为晶片保持槽107。
(吹扫气体循环构造)
接着,使用图1、图6对设置于本实施方式的搬运室203的搬运室203内的吹扫气体循环构造进行说明。如图6所示,搬运室203具备:吹扫气体供给机构(第二气体供给部)162,其以预先决定的第二气体流量向形成于搬运室203的周围的管道内供给作为吹扫气体的不活性气体或空气(新鲜空气);以及压力控制机构150,其进行搬运室203内的压力控制。吹扫气体供给机构162构成为主要根据检测搬运室203内的氧浓度的检测器160的检测值来向管道内供给吹扫气体。检测器160设置于去除灰尘和杂质且作为向搬运室203内供给吹扫气体的气体供给机构的清洁单元166的上方(上游侧)。清洁单元166由用于去除灰尘和杂质的过滤器和用于输送吹扫气体的鼓风机(风扇)构成。能够通过吹扫气体供给机构162和压力控制机构150来控制搬运室203内的氧浓度。这里,检测器160也可以构成为除了能够检测氧浓度之外还能够检测水分浓度。
压力控制机构150包括构成为将搬运室203内保持为预定的压力的调整挡板154和构成为将排气通道152全开或全闭的排气挡板156。调整挡板154包括构成为当搬运室203内的压力高于预定的压力时打开的自动挡板(背压阀)151和构成为控制自动挡板151的开闭的按压挡板153。构成为通过像这样对调整挡板154及排气挡板156的开闭进行控制,从而能够将搬运室203内控制为任意的压力。
如图6所示,在搬运室203的顶棚部,左右各配置有一个清洁单元166。在移载机125的周边设置有调整吹扫气体的流动的整流板即多孔板174。多孔板174具有多个孔,例如由冲孔板形成。通过设置多孔板174,将搬运室203内的空间划分为作为上部空间的第一空间170与作为下部空间的第二空间176。即,在顶棚部与多孔板174之间的空间形成作为晶片搬运区域的第一空间170,并且,在多孔板174与搬运室203的地面之间的空间形成作为气体排出区域的第二空间176。
在搬运室203的下方即第二空间176的下部,隔着移载机125在左右分别各配置有一个使流过搬运室203内的吹扫气体循环及排出的吸出部164。另外,在框体202的壁面内,即在框体202的外壁面与内壁面之间,形成有将左右一对吸出部164与左右一对过滤器单元166分别相连的作为循环路径及排气路径的路径168。通过在路径168中设置将流体冷却的未图示的冷却机构(散热器),能够进行循环吹扫气体的温度控制。
路径168被分支为作为循环路径的循环通道168A与排气通道168B这两个路径。循环通道168A是与清洁单元166的上游侧连接且向搬运室203内再次供给吹扫气体的流路。排气通道168B是与压力控制机构150连接且排出吹扫气体的流路,设置于框体202的左右的排气通道168B在下游侧合流成一条外部排气路径152。
接着,对搬运室203内的气体的流动进行说明。图6所示的箭头示意性地示出从吹扫气体供给机构162供给的吹扫气体的流动。例如在将作为吹扫气体的N2气体(不活性气体)向搬运室203内导入的情况下,N2气体经由清洁单元166从搬运室203的顶棚部被供给到搬运室203内,在搬运室203内形成下降流111。在搬运室203内具有如下构造:通过设置多孔板174而将搬运室203内的空间主要划分为搬运晶片200的区域即第一空间170与颗粒容易沉降的第二空间176,从而在第一空间170与第二空间176之间形成差压。此时,第一空间170的压力高于第二空间176的压力。通过这样的结构,能够抑制从镊钳125a下方的移载机升降机125c等驱动部产生的颗粒向晶片搬运区域内飞散。另外,能够抑制搬运室203的地面的颗粒向第一空间170卷起。
通过下降流111而供给到第二空间176的N2气体被吸出部164从搬运室203吸出。从搬运室203吸出的N2气体在吸出部164的下游分为循环通道168A与排气通道168B这两个流路。导入到循环通道168A的N2气体流向框体202的上方,经由清洁单元166在搬运室203内循环。另外,导入到排气通道168B的N2气体流向框体202的下方,从外部排气路径152向外部排出。这里,在循环通道168的流导较小的情况下,也可以在左右的吸出部164设置促进N2气体的循环的作为鼓风机的风扇178。通过设置风扇178,能够使N2气体的流动变好,容易形成循环空气流。这样,通过分为左右两个系统来进行循环及排气,能够在搬运室203内形成均匀的空气流。
这里,也可以通过控制调整挡板154和排气挡板156的开闭,来实现是否使N2气体在搬运室203内循环。即,也可以构成为,当使N2气体在搬运室203内循环时,将自动挡板151及按压挡板153设为开,将排气挡板156设为关,由此容易形成向搬运室203内流动的循环空气流。在该情况下构成为,导入到排气通道168B的N2气体可以滞留在排气通道168B内,也可以向循环通道168A流动。
这里,由控制器121将各部分控制为,晶片盒110内的压力、搬运室203内的压力、处理室201内的压力及冷却室204内的压力全部为大气压或者比大气压高10Pa以上~200Pa以下(表压)程度的压力。需要说明的是,在后述的炉内压力/温度调整工序S803、不活性气体供给工序S804、重整工序S805中分别优选控制为,搬运室203内的压力比处理室201及冷却室204的压力高,并且,处理室201内的压力比晶片盒110内的压力高,在基板搬入工序S802、基板搬出工序S806、基板冷却工序S807中分别优选控制为,搬运室203内的压力比处理室201内的压力低且比冷却室204内的压力高。
(控制装置)
如图7所示,作为控制部(控制装置、控制单元)的控制器121构成为具备CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、存储装置121c、I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d构成为能够经由内部总线121e而与CPU121a进行数据交换。在控制器121连接有例如作为触摸面板等而构成的输入输出装置122。
存储装置121c例如由闪存、HDD(Hard Disk Drive)等构成。在存储装置121c内,以能够读出的方式存放有对基板处理装置的动作进行控制的控制程序、记载了退火(重整)处理的步骤或条件等的工艺制程等。工艺制程以如下方式组合:使控制器121执行后述的基板处理工序中的各步骤,从而能够得到预定的结果,工艺制程作为程序而发挥功能。以下,也将该工艺制程、控制程序等统一简称为程序。另外,也将工艺制程简称为制程。在本说明书中使用程序这一语言的情况下,存在仅包括制程单体的情况、仅包括控制程序单体的情况、或者包括这两方的情况。RAM121b构成为暂时地保持由CPU121a读出的程序或数据等的存储器区域(工作区域)。
I/O端口121d与上述的MFC241、阀243、压力传感器245、APC阀244、真空泵246、温度传感器263、驱动机构267、微波振荡器655等连接。
CPU121a构成为,从存储装置121c读出并执行控制程序,并且,根据来自输入输出装置122的操作命令的输入等从存储装置121c读出制程。CPU121a构成为以按照读出的制程的内容的方式来控制利用MFC241进行的各种气体的流量调整动作、阀243的开闭动作、基于压力传感器245的利用APC阀244进行的压力调整动作、真空泵246的起动及停止、基于温度传感器263的微波振荡器655的输出调整动作、利用驱动机构267进行的载置台210(或者舟皿217)的旋转及旋转速度调节动作、或者升降动作等。
控制器121能够通过将存放于外部存储装置(例如硬盘等磁盘、CD等光盘、MO等光磁盘、USB存储器等半导体存储器)123的上述的程序安装于计算机而构成。存储装置121c、外部存储装置123作为计算机可读取的记录介质而构成。以下,也将它们统一简称为记录介质。在本说明书中使用记录介质这样的语言的情况下,存在仅包括存储装置121c单体的情况、仅包括外部存储装置123单体的情况、或者包括这两方的情况。需要说明的是,也可以不使用外部存储装置123,而使用因特网、专用线路等通信单元来进行向计算机的程序的提供。
(2)基板处理工序
接着,使用上述的基板处理装置100的处理炉,按照图8所示的处理流程来说明例如形成在基板上的作为含硅膜的非晶硅膜的重整(晶体化)方法的一例,作为半导体装置(设备)的制造工序的一个工序。在以下的说明中,构成基板处理装置100的各部分的动作由控制器121控制。另外,与上述的处理炉构造同样地,在本实施方式的基板处理工序中,关于处理内容、即制程也在设置有多个的处理炉中使用同一制程,因此,仅说明使用了一个处理炉的基板处理工序,省略说明使用其他处理炉的基板处理工序。
这里,在本说明书中使用“晶片”这样的语言的情况下,存在表示晶片本身的情况、表示晶片与形成于其表面的预定的层或膜的层叠体的情况。在本说明书中使用“晶片的表面”这样的语言的情况下,存在表示晶片本身的表面的情况、表示形成在晶片上的预定的层等的表面的情况。在本说明书中记载为“在晶片上形成预定的层”的情况下,存在表示在晶片本身的表面上直接形成预定的层的情况、表示在形成于晶片上的层等之上形成预定的层的情况。在本说明书中使用“基板”这样的语言的情况也与使用“晶片”这样的语言的情况是同义的。
(基板取出工序(S801))
如图1所示,移载机125从通过装载口单元106开口的晶片盒110取出预定张数的成为处理对象的晶片200,在镊钳125a-1、125a-2中的任一方或两方载置晶片200。
(基板搬入工序(S802))
如图3所示,载置于镊钳125a-1、125a-2中的任一方或两方的晶片200通过闸阀205的开闭动作被搬入到预定的处理室201(舟皿载入)(S802)。
(炉内压力/温度调整工序(S803))
在向处理室201内的舟皿217的搬入完成之后,处理室201内对处理室201内的气氛进行控制,使得成为预定的压力(例如10~102000Pa)。具体而言,在由真空泵246进行排气的同时,基于由压力传感器245检测到的压力信息对压力调整器244的阀开度进行反馈控制,并将处理室201内设为预定的压力。另外,同时也可以控制为,对电磁波供给部进行控制,使其加热至预定的温度来作为预备加热(S803)。在通过电磁波供给部升温至预定的基板处理温度的情况下,优选以比后述的重整工序的输出小的输出进行升温,以避免晶片200变形、破损。需要说明的是,在大气压下进行基板处理的情况下,也可以控制为,不进行炉内压力调整,在仅进行炉内的温度调整之后,移至后述的不活性气体供给工序S804。
(不活性气体供给工序(S804))
在通过炉内压力/温度调整工序S803将处理室201内的压力和温度控制为预定的值时,驱动机构267使轴255旋转,经由载置台210上的舟皿217使晶片200旋转。此时,经由气体供给管232供给氮气体等不活性气体(S804)。进而,此时,处理室201内的压力被调整为成为10Pa以上且102000Pa以下的范围的预定值,例如成为101300Pa以上且101650Pa以下。需要说明的是,也可以使轴在基板搬入工序S402时、即在完成将晶片200搬入到处理室201内之后旋转。
(重整工序(S805))
当将处理室201内维持为预定的压力时,微波振荡器655经由上述的各部分向处理室201内供给微波。通过向处理室201内供给微波,以晶片200成为100℃以上且1000℃以下的温度、优选400℃以上且900℃以下的温度的方式进行加热,更优选以成为500℃以上且700℃以下的温度的方式进行加热。通过以这样的温度进行基板处理,成为晶片200有效地吸收微波的温度下的基板处理,能够实现重整处理的速度提高。换言之,在晶片200的温度为比100℃低的温度或者比1000℃高的温度下进行处理时,晶片200的表面会变质,导致难以吸收微波,因此难以对晶片200进行加热。因此,期望以上述的温度带进行基板处理。
在通过基于微波的加热方式进行加热的本实施方式中,为了抑制在处理室201产生驻波而在晶片200(在载置有加热台103的情况下,加热台103也与晶片200同样)上产生局部被加热的加热集中区域(热点)和除此以外的未被加热的区域(非加热区域)从而使晶片200(在载置有加热台103的情况下,加热台103也与晶片200同样)变形,通过对电磁波供给部的电源的接通/切断进行控制来抑制在晶片200产生热点。此时,也能够控制为通过将电磁波供给部的供给电力设为低输出来减小热点的影响,由此抑制晶片200的变形。但是,在该情况下,向晶片200、加热台103照射的能量变小,因此,升温温度也变小,需要加长加热时间。
这里,如上所述,温度传感器263是非接触式的温度传感器,当作为测定对象的晶片200(在载置有加热台103的情况下,加热台103也与晶片200同样)产生变形或破损时,温度传感器监控的晶片200的位置、相对于晶片200的测定角度发生变化,因此,测定值(监控值)变得不准确,导致测定温度急剧地变化。在本实施方式中,将伴随这种测定对象的变形或破损而使放射温度计的测定温度急剧变化用作进行电磁波供给部的接通/断开的触发。
通过如以上那样控制微波振荡器655,从而对晶片200进行加热,使形成在晶片200表面上的非晶硅膜重整(晶体化)为多晶硅膜(S805)。即,能够均匀地对晶片200进行重整。需要说明的是,在晶片200的测定温度超过上述阈值而变高或变低的情况下,也可以通过控制为不将微波振荡器655设为断开,而是降低微波振荡器655的输出,从而使晶片200的温度成为预定范围的温度。在该情况下,控制为当晶片200的温度返回到预定范围的温度时,提高微波振荡器655的输出。
当经过预先设定的处理时间后,舟皿217的旋转、气体的供给、微波的供给及排气管的排气停止。
(基板搬出工序(S806))
在使处理室201内的压力恢复到大气压之后,将闸阀205开放,使处理室201与搬运室203在空间上连通。之后,利用移载机125的镊钳125a将载置于舟皿的晶片200向搬运室203搬出(S806)。
(基板冷却工序(S807))
由镊钳125a搬出的晶片200通过移载装置125b、移载装置升降机125c的连续动作而移动至冷却室204,由镊钳125a载置于CS108。具体而言,如图5(A)所示,保持于镊钳125a-1的重整处理S805后的晶片200a被移送到设置于CS108的晶片保持槽107b,通过载置预定时间而将晶片200a冷却(S807)。此时,如图5(B)所示,在载置有已经在先被CS108冷却的冷却完的晶片200b的情况下,将重整处理S805完成后的晶片200a载置于晶片保持槽107b后的镊钳125a-1、或者其他空置的镊钳(例如镊钳125a-2)将冷却完的晶片200b搬运到装载口,即晶片盒110。
(基板收容工序(S808))
通过基板冷却工序S807冷却后的晶片200由镊钳125a从CS108搬出,并搬运到预定的晶片盒110(S808)。
通过重复以上的动作,晶片200被重整处理,并移至下一个基板处理工序。另外,以通过将两张晶片200载置于舟皿217来进行基板处理的方式构成而进行了说明,但不限于此,也可以使分别设置于处理室201-1、201-2的舟皿217各载置一张晶片来进行相同的处理,还可以通过调换处理,将晶片200以各两张的形式在处理室201-1、201-2中进行处理。此时,也可以将晶片200的搬运目的地控制为,使在处理室201-1、201-2中分别进行的基板处理的次数一致。通过像这样控制,各处理室201-1、201-2中的基板处理的实施次数成为恒定,能够有效地进行维修等维护作业。例如,在前次搬运了晶片200的处理室为处理室201-1的情况下,通过控制为下一个晶片200的搬运目的地为处理室201-2,能够控制各处理室201-1、201-2中的基板处理的实施次数。
另外,镊钳125a-1和125a-2也可以分别设置为,用于搬运通过基板处理而成为高温的晶片200的高温用镊钳、以及用于搬运高温以外的温度的晶片200的低温用镊钳。例如,也可以通过将镊钳125a-1设为高温用镊钳,将镊钳125a-2设为低温用镊钳,从而控制为,仅利用镊钳125a-1将通过重整工序S805成为高温的晶片200搬运到冷却室204,在从处理室201搬出时以外的搬运时机,利用镊钳125a-2搬运晶片200
(3)冷却室内压力控制
接着,使用图9(A)、(B)对冷却室204内的压力控制进行说明。与基板处理工序同样地,在以下的说明中,各部分的动作由控制器121控制。
如图4所示,在本实施方式的冷却室204中,未配置将处理室201与搬运室203在空间上隔离的闸阀205这样的隔壁。因此,根据冷却室204内的压力,在搬运室203内流动的吹扫气体的气体流动会产生变化。搬运室203内的气体流动的变化成为在搬运室203内产生吹扫气体的湍流的原因,从而成为卷起搬运室内的颗粒的原因、以及晶片搬运时的晶片偏移的原因,因此,其结果是,会产生所形成的膜质的下降、吞吐量的下降等不良影响。为了抑制这些不良影响,需要进行冷却室204内的压力控制。为了进行该压力控制,将向搬运室203内供给的吹扫气体的流量控制为大于向冷却室204供给的吹扫气体的流量。
这里,向搬运室203内供给的吹扫气体的流量优选以成为100slm以上且2000slm以下的方式供给。在假设以小于100slm的流量进行了气体供给的情况下,难以完全地对搬运室203内进行吹扫,在搬运室203内会残留杂质、副生成物。另外,在假设以大于2000slm的流量进行了气体供给的情况下,在利用移载机125搬运晶片200时,成为载置于预定的位置的晶片200偏移的原因,或者成为在搬运室框体202的角部等产生涡流等湍流的原因,从而成为卷起颗粒等杂质的原因。
另外,在作为向上述的搬运室203内供给气体的气体供给流量的情况下,向冷却室204内供给的吹扫气体的流量优选以成为10slm以上且800slm以下的方式供给。在假设以小于10slm的流量进行了气体供给的情况下,难以完全地对冷却室204内进行吹扫,在搬运室203内会残留杂质、副生成物。另外,在假设以大于800slm的流量进行了气体供给的情况下,在利用移载机125搬运晶片200时,成为载置于预定的位置的晶片200偏移的原因,或者成为在冷却室壳体109的角部等产生涡流等湍流的原因,从而成为卷起颗粒等杂质的原因。
在控制搬运室203内的压力和冷却室204内的压力时,例如优选控制为,由搬运室用压力传感器180检测到的搬运室203内的压力值始终高于由冷却室用压力传感器407检测到的冷却室204内的压力值。即,优选控制为,搬运室203内的压力高于冷却室204内的压力。此时,尤其是通过控制为将搬运室203与冷却室204的压力差维持为大于0Pa且100Pa以下,从而能够将冷却室204内的压力对搬运室203内的吹扫气体流造成的影响抑制到最小限度。假设在将搬运室203与冷却室204的压力差设为0Pa时,搬运室203与冷却室204的压力差消失,向冷却室供给的吹扫气体向搬运室203逆流,使搬运室203内的气体流动产生变化。另外,当搬运室203与冷却室204的压力差大于100Pa时,向搬运室203供给的吹扫气体会过度地流入冷却室204内,使搬运室203内的气体流动产生较大的变化。在以下的说明中,记载了以搬运室203与冷却室204的压力差成为10Pa的方式进行控制的情况。
首先,使用图9(A),来说明通过将设置于处理室201的闸阀205开放而使搬运室203内的压力下降的情况下的控制。
如图9(A)所示,例如,在实施基板处理工序中的炉内压力/温度调整工序S803至重整工序S805的期间等的、配置于处理室201的闸阀205关闭的状态下,以搬运室203内的压力为50Pa且冷却室204内的压力成为40Pa的方式将开闭阀406关闭,以从气体供给喷嘴401向冷却室204内供给的气体流量成为100slm的方式对MFC403进行控制(STEP1)。
从STEP1的状态起例如实施基板搬出工序S806等,将配置于处理室201的闸阀205开放,由此,搬运室203内的压力下降,搬运室用压力传感器180检测到成为40Pa(STEP2)。
当搬运室用压力传感器180检测到预定的压力值时,控制器121控制为,将开闭阀405开放,使冷却室204内的压力下降(STEP3)。此时,闸阀205维持开放的状态。
在STEP3的状态之后,例如在基板搬出工序S806中完成从处理室201搬出晶片200的搬出处理时,将闸阀205关闭。当关闭闸阀205后,控制器121控制为将开闭阀关闭,使搬运室203与冷却室204的压力差维持预定的值(STEP4)。
通过如以上那样进行控制,即便在通过将闸阀205开放而使搬运室203内的压力下降的情况下,也能够适当调整冷却室204内的压力,将搬运室203与冷却室204的压力差维持为恒定,不会使搬运室203内的气体流动紊乱,能够抑制膜质的下降和吞吐量的下降。
接着,使用图9(B)来说明通过将设置于处理室201的闸阀205开放而使搬运室203内的压力上升的情况下的控制。
如图9(B)所示,例如,在实施基板处理工序中的炉内压力/温度调整工序S803至重整工序S805的期间等的、配置于处理室201的闸阀205关闭的状态下,以搬运室203内的压力为50Pa且冷却室204内的压力成为40Pa的方式将开闭阀406关闭,以从气体供给喷嘴401向冷却室204内供给的气体流量成为100slm的方式对MFC403进行控制(STEP5)。需要说明的是,该状态下的各部分的控制与在图9(A)中进行的STEP1的说明是相同的。
通过从STEP5的状态起将闸阀205开放,从而搬运室203内的压力上升,搬运室用压力传感器180检测到成为60Pa(STEP6)。
当搬运室用压力传感器180检测到预定的压力值时,控制器121在开闭阀406维持关闭的状态下,将MFC403控制为,使从气体供给喷嘴401向冷却室内供给的气体流量增加到150slm,从而冷却室204内的压力上升(STEP7)。
当通过STEP7而使冷却室204内的压力成为预定的值时,控制器121控制为,将开闭阀关闭,使搬运室203与冷却室204的压力差维持预定的值(STEP8)。
通过如以上那样进行控制,即便在通过将闸阀205开放而使搬运室203内的压力上升的情况下,也能够适当调整冷却室204内的压力,将搬运室203与冷却室204的压力差维持为恒定,不会使搬运室203内的气体流动紊乱,能够抑制膜质的下降和吞吐量的下降。
另外,在本实施方式中,说明了未设置将搬运室203与冷却室204在空间上隔离的闸阀的构造,但不限于此,即便在冷却室204的侧壁设置将搬运室203与冷却室204在空间上隔离的闸阀的情况下,也可以进行上述的冷却室内的压力控制。另外,也可以构成为,在冷却室204的侧壁面设置供制冷剂流通的制冷剂配管409来提高冷却效率。
(4)本实施方式的效果
根据本实施方式,得到以下所示的一个或多个效果。
(a)通过向冷却室内供给吹扫气体,能够对冷却室内的杂质、副生成物进行吹扫,能够抑制在处理完的晶片上附着杂质等膜质的下降。
(b)通过向冷却室内供给吹扫气体,能够使吹扫气体作为冷却气体而发挥功能,能够有效地将处理完的晶片冷却。
(c)通过在冷却室内设置气体供给系统和气体排气系统,能够进行冷却室内的压力控制,能够控制与搬运室内的压力差,由此,能够将对搬运室内的气体流动的影响抑制到最小限度。
(d)通过将对搬运室内的气体流动的影响抑制到最小限度,能够抑制装置的吞吐量下降和形成于晶片的膜的膜质下降。
以上,按照实施方式对本发明进行了说明,但上述的实施方式能够通过适当变更而使用,也能够得到其效果。
例如,在上述的各实施方式中,作为以硅为主成分的膜,记载了将非晶硅膜重整为多晶硅膜的处理,但不限于此,也可以供给包含氧(O)、氮(N)、碳(C)、氢(H)中的至少一种以上的气体,来对形成于晶片200的表面的膜进行重整。例如,在晶片200上形成有作为高电介质膜的铪氧化膜(HfxOy膜)的情况下,通过一边供给包含氧的气体一边供给微波而进行加热,能够补充铪氧化膜中缺损的氧,提高高电介质膜的特性。
需要说明的是,这里示出了铪氧化膜,但不限于此,在对包含如下金属元素的氧化膜、即、金属系氧化膜进行重整的情况下,也能够适合应用,该金属元素包括铝(Al)、钛(Ti)、锆(Zr)、钽(Ta)、铌(Nb)、镧(La)、铈(Ce)、钇(Y)、钡(Ba)、锶(Sr)、钙(Ca)、铅(Pb)、钼(Mo)、钨(W)等中的至少任一种。即,即便在晶片200上重整TiOCN膜、TiOC膜、TiON膜、TiO膜、ZrOCN膜、ZrOC膜、ZrON膜、ZrO膜、HfOCN膜、HfOC膜、HfON膜、HfO膜、TaOCN膜、TaOC膜、TaON膜、TaO膜、NbOCN膜、NbOC膜、NbON膜、NbO膜、AlOCN膜、AlOC膜、AlON膜、AlO膜、MoOCN膜、MoOC膜、MoON膜、MoO膜、WOCN膜、WOC膜、WON膜、WO膜的情况下,也能够适合应用上述的成膜顺序。
另外,不限于高电介质膜,也可以对以掺杂了杂质的硅为主成分的膜进行加热。作为以硅为主成分的膜,具有氮化硅膜(SiN膜)、氧化硅膜(SiO膜)、碳氧化硅膜(SiOC膜)、氧碳氮化硅膜(SiOCN膜)、氧氮化硅膜(SiON膜)等Si系氧化膜。作为杂质,例如包括硼(B)、碳(C)、氮(N)、铝(Al)、磷(P)、镓(Ga)、砷(As)等中的至少一种以上。
另外,也可以是以甲基丙烯酸甲酯树脂(Polymethyl methacrylate:PMMA)、环氧树脂、酚醛清漆树脂、聚乙烯基苯基树脂等中的至少任一种为基础的抗蚀剂膜。
另外,在上述中,记述了半导体装置的制造工序的一个工序,但不限于此,在液晶面板的制造工序的图案化处理、太阳能电池的制造工序的图案化处理、功率设备的制造工序的图案化处理等的对基板进行处理的技术中也能够应用。
产业利用性
如以上所述,根据本发明,能够提供即便在设置有基板的冷却工序的情况下也能够抑制生产性的下降的电磁波处理技术。
附图标记说明:
200…晶片(基板),201…处理室,203…搬运室,204…冷却室,401…气体供给喷嘴(冷却室用吹扫气体供给部,冷却室用气体供给喷嘴),402…阀(冷却室用阀),403…MFC(冷却室用MFC),404…气体供给配管(冷却室用气体供给配管),405…排气口,406…开闭阀(冷却室用排气阀,APC阀),407…排气配管(冷却室用排气配管)。

Claims (20)

1.一种基板处理装置,其特征在于,
所述基板处理装置具有:
搬运室,其通过移栽机搬运基板;
至少两个处理室,其从所述搬运室被搬运来所述基板,并利用来自加热装置的微波对所述基板进行加热处理;以及
冷却室,其与所述搬运室在空间上连结,且在所述至少两个处理室之间与所述至少两个处理室等距离地配置在所述搬运室的侧壁,所述冷却室具备第一气体供给部和第一排气部,该第一气体供给部以第一气体流量供给对内部的气氛进行吹扫的吹扫气体,该排气部具有排出所述吹扫气体的排气配管,所述冷却室利用所述吹扫气体对由所述微波加热处理后的基板进行冷却,
在所述搬运室内,在所述移栽机的周边设置有调整吹扫气体的流动并具有多个孔的多孔板,所述多孔板将所述搬运室的空间划分为上部空间和下部空间。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述搬运室具有第二气体供给部,该第二气体供给部以流量比所述第一气体流量大的第二气体流量供给对内部的气氛进行吹扫的吹扫气体。
3.根据权利要求2所述的基板处理装置,其特征在于,
所述基板处理装置具有控制部,该控制部构成为对所述第一气体供给部及所述第二气体供给部进行控制,以使得所述第一气体流量为10slm以上且800slm以下、所述第二气体流量成为100slm以上且2000slm以下。
4.根据权利要求2所述的基板处理装置,其特征在于,
所述排气配管配置为与所述第二气体供给部的上游合流,从而构成供从所述排气部排出的气体循环的循环构造。
5.根据权利要求1所述的基板处理装置,其特征在于,
所述基板处理装置具有控制部,该控制部构成为根据所述搬运室内的压力的检测值和所述冷却室内的压力的检测值,来控制所述第一气体供给部和所述排气部。
6.根据权利要求1所述的基板处理装置,其特征在于,
所述处理室具备供给不活性气体的第三气体供给部和排出所述不活性气体的第二排气部,
所述基板处理装置具有控制部,所述控制部构成为,控制所述第一气体供给部、所述第一排气部、所述第三气体供给部以及所述第二排气部,以使得所述搬运室内的压力比所述至少两个处理室内的压力低且比所述冷却室内的压力高。
7.根据权利要求6所述的基板处理装置,其特征在于,
所述控制部构成为,控制所述第一气体供给部、所述第一排气部、所述第三气体供给部以及所述第二排气部,以使得在对所述基板进行加热处理时所述搬运室内的压力比所述处理室内的压力以及所述冷却室内的压力高。
8.根据权利要求5所述的基板处理装置,其特征在于,
所述控制部构成为,控制所述第一气体供给部和所述排气部,以使得所述搬运室内的压力与所述冷却室的压力之差大于0Pa且为100Pa以下。
9.根据权利要求1所述的基板处理装置,其特征在于,
在所述冷却室的侧壁还具有用于使制冷剂在内部流通的制冷剂配管。
10.根据权利要求1所述的基板处理装置,其特征在于,
所述冷却室配置于两个所述处理室之间且一个所述搬运室的侧方。
11.一种半导体装置的制造方法,其特征在于,
所述半导体装置的制造方法包括如下工序:
向基板处理装置的处理室内搬运基板的工序,该基板处理装置具有通过移栽机搬运基板的搬运室、至少两个所述处理室及冷却室,该至少两个所述处理室被搬运来所述基板,并利用来自加热装置的微波对所述基板进行加热处理,该冷却室与所述搬运室在空间上连结,且在至少两个所述处理室之间与所述至少两个处理室等距离地配置在所述搬运室的侧壁,该冷却室具备第一气体供给部和排气部,该第一气体供给部以第一气体流量供给对内部的气氛进行吹扫的吹扫气体,该排气部具有排出所述吹扫气体的排气配管,该冷却室利用所述吹扫气体对由所述微波加热处理后的基板进行冷却,在所述搬运室内,在所述移栽机的周边设置有调整吹扫气体的流动并具有多个孔的多孔板,所述多孔板将所述搬运室的空间划分为上部空间和下部空间;
在所述处理室内对所述基板进行所述加热处理的工序;
将进行所述加热处理后的所述基板从所述处理室搬出的工序;以及
将从所述处理室搬出的所述加热处理后的基板搬运到所述冷却室并对所述基板进行冷却的工序。
12.根据权利要求11所述的半导体装置的制造方法,其特征在于,
所述第一气体流量为10slm以上且800slm以下。
13.根据权利要求11所述的半导体装置的制造方法,其特征在于,
在搬运所述基板的工序、搬出所述基板的工序以及冷却所述基板的工序中,所述搬运室内的压力比所述处理室内的压力低且比所述冷却室内的压力高。
14.根据权利要求11所述的半导体装置的制造方法,其特征在于,
在对所述基板进行加热处理的工序中,所述搬运室内的压力比所述处理室内的压力以及所述冷却室内的压力高。
15.根据权利要求12所述的半导体装置的制造方法,其特征在于,
所述搬运室内的压力与所述冷却室内的压力之差比0Pa大且为100Pa以下。
16.一种计算机可读取的记录介质,其特征在于,
所述计算机可读取的记录介质记录通过计算机使基板处理装置执行如下步骤的程序:
向所述基板处理装置的处理室内搬运基板的步骤,该基板处理装置具有通过移栽机搬运基板的搬运室、至少两个所述处理室及冷却室,该至少两个所述处理室从搬运所述基板的搬运室被搬运来所述基板,并利用来自加热装置的微波对所述基板进行加热处理,该冷却室与所述搬运室在空间上连结,且在至少两个所述处理室之间与所述至少两个处理室等距离地配置在所述搬运室的侧壁,该冷却室具备第一气体供给部和排气部,该第一气体供给部以第一气体流量供给对内部的气氛进行吹扫的吹扫气体,该排气部具有排出所述吹扫气体的排气配管,该冷却室利用所述吹扫气体对由所述微波加热处理后的基板进行冷却,在所述搬运室内,在所述移栽机的周边设置有调整吹扫气体的流动并具有多个孔的多孔板,所述多孔板将所述搬运室的空间划分为上部空间和下部空间;
在所述处理室内对所述基板进行所述加热处理的步骤;
将进行所述加热处理后的所述基板从所述处理室搬出的步骤;以及
将从所述处理室搬出的所述加热处理后的基板搬运到所述冷却室并对所述基板进行冷却的步骤。
17.根据权利要求16所述的记录介质,其特征在于,
所述第一气体流量为10slm以上且800slm以下。
18.根据权利要求16所述的记录介质,其特征在于,
在搬运所述基板的步骤、搬出所述基板的步骤以及冷却所述基板的步骤中,所述搬运室内的压力比所述处理室内的压力低且比所述冷却室内的压力高。
19.根据权利要求16所述的记录介质,其特征在于,
在对所述基板进行加热处理的步骤中,所述搬运室内的压力比所述处理室内的压力以及所述冷却室内的压力高。
20.根据权利要求16所述的记录介质,其特征在于,
所述搬运室内的压力与所述冷却室内的压力之差比0Pa大且为100Pa以下。
CN201780094079.0A 2017-09-01 2017-09-01 基板处理装置、半导体装置的制造方法及记录介质 Active CN111052336B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2017/031630 WO2019043919A1 (ja) 2017-09-01 2017-09-01 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
CN111052336A CN111052336A (zh) 2020-04-21
CN111052336B true CN111052336B (zh) 2024-03-01

Family

ID=65526354

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780094079.0A Active CN111052336B (zh) 2017-09-01 2017-09-01 基板处理装置、半导体装置的制造方法及记录介质

Country Status (6)

Country Link
US (1) US11177143B2 (zh)
JP (1) JP6841920B2 (zh)
KR (1) KR102359048B1 (zh)
CN (1) CN111052336B (zh)
TW (1) TWI741205B (zh)
WO (1) WO2019043919A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019167235A1 (ja) * 2018-03-01 2019-09-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP7273499B2 (ja) * 2018-12-25 2023-05-15 株式会社ディスコ タッチパネル
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US11315816B2 (en) * 2020-06-10 2022-04-26 Kla Corporation Localized purge module for substrate handling
KR102559562B1 (ko) * 2021-03-11 2023-07-27 주식회사 한국제이텍트써모시스템 열처리 오븐의 배기 덕트 일체형 히터 유닛
CN115241105B (zh) * 2022-07-21 2023-05-16 深圳市欧盛创宇电子有限公司 一种计算机用集成电路生产加工设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139695A (en) * 1995-08-07 2000-10-31 Akashic Memories Corporation Modular deposition system having batch processing and serial thin film deposition
JP2002093715A (ja) * 2000-09-12 2002-03-29 Hitachi Kokusai Electric Inc 半導体製造装置
JP2003051505A (ja) * 2001-06-01 2003-02-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び熱処理方法
JP2003056834A (ja) * 1999-07-22 2003-02-26 Hoei Shokai:Kk 処理装置
JP2014183247A (ja) * 2013-03-21 2014-09-29 Dainippon Screen Mfg Co Ltd 基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09104982A (ja) * 1995-08-05 1997-04-22 Kokusai Electric Co Ltd 基板処理装置
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
TWI476855B (zh) * 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
JP4793662B2 (ja) * 2008-03-28 2011-10-12 独立行政法人産業技術総合研究所 マイクロ波プラズマ処理装置
JP2012089591A (ja) * 2010-10-18 2012-05-10 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP6040757B2 (ja) * 2012-10-15 2016-12-07 東京エレクトロン株式会社 搬送機構の位置決め方法、被処理体の位置ずれ量算出方法及び搬送機構のティーチングデータの修正方法
JP6188145B2 (ja) * 2013-09-27 2017-08-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2015076458A (ja) * 2013-10-08 2015-04-20 株式会社日立ハイテクノロジーズ 真空処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139695A (en) * 1995-08-07 2000-10-31 Akashic Memories Corporation Modular deposition system having batch processing and serial thin film deposition
JP2003056834A (ja) * 1999-07-22 2003-02-26 Hoei Shokai:Kk 処理装置
JP2002093715A (ja) * 2000-09-12 2002-03-29 Hitachi Kokusai Electric Inc 半導体製造装置
JP2003051505A (ja) * 2001-06-01 2003-02-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び熱処理方法
JP2014183247A (ja) * 2013-03-21 2014-09-29 Dainippon Screen Mfg Co Ltd 基板処理装置

Also Published As

Publication number Publication date
TWI741205B (zh) 2021-10-01
US11177143B2 (en) 2021-11-16
JPWO2019043919A1 (ja) 2020-07-09
KR102359048B1 (ko) 2022-02-08
KR20200026306A (ko) 2020-03-10
US20200194287A1 (en) 2020-06-18
JP6841920B2 (ja) 2021-03-10
WO2019043919A1 (ja) 2019-03-07
CN111052336A (zh) 2020-04-21
TW201921567A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
CN111052336B (zh) 基板处理装置、半导体装置的制造方法及记录介质
KR102311459B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
US10943806B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and non- transitory computer-readable recording medium
JP7011033B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN110299304B (zh) 基板处理装置、半导体装置的制造方法和记录介质
US20230189407A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP6823709B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202025255A (zh) 基板處理裝置、半導體裝置的製造方法及記錄媒體
JP7079317B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6949080B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
WO2023047922A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN116805587A (zh) 基板处理装置、半导体装置的制造方法以及记录介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant