TWI741205B - 基板處理裝置、半導體裝置之製造方法及記錄媒體 - Google Patents

基板處理裝置、半導體裝置之製造方法及記錄媒體 Download PDF

Info

Publication number
TWI741205B
TWI741205B TW107128995A TW107128995A TWI741205B TW I741205 B TWI741205 B TW I741205B TW 107128995 A TW107128995 A TW 107128995A TW 107128995 A TW107128995 A TW 107128995A TW I741205 B TWI741205 B TW I741205B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
gas
processing
cooling
Prior art date
Application number
TW107128995A
Other languages
English (en)
Other versions
TW201921567A (zh
Inventor
廣地志有
野上孝志
柳澤愛彥
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW201921567A publication Critical patent/TW201921567A/zh
Application granted granted Critical
Publication of TWI741205B publication Critical patent/TWI741205B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Abstract

本發明係提供:即便有設計基板冷卻步驟的情況,仍可抑制生產性降低的電磁波處理技術。本發明所提供的技術,係包括有:至少2個處理室,該等處理室係被搬送有來自對基板進行搬送之搬送室之上述基板,而藉由來自加熱裝置之微波而對上述基板進行加熱處理;及冷卻室,其係空間性地與上述搬送室連結,於上述至少2個處理室之間距上述至少2個處理室等距離而配置於上述搬送室的側壁,並具備有第1氣體供給部與排氣部,該第1氣體供給部係依第1氣體流量供給將內部環境予以沖洗的沖洗氣體,該排氣部係具有將上述沖洗氣體予以排氣之排氣配管,該冷卻室係藉由上述沖洗氣體而冷卻由上述微波進行加熱處理之上述基板。

Description

基板處理裝置、半導體裝置之製造方法及記錄媒體
本發明係關於基板處理裝置、半導體裝置之製造方法及記錄媒體。
半導體裝置(半導體設備)製造步驟之一步驟,例如有以使用加熱裝置加熱處理室內的基板,使在基板表面上所成膜之薄膜中的組成、結晶構造產生變化,或對所成膜之薄膜內的結晶缺陷等進行修復之退火處理等為代表之改質處理。於近年來之半導體設備中,微細化、高積體化明顯,隨此要求對形成具有高寬深比之圖案的高密度之基板進行改質處理。作為對此種高密度基板的改質處理方法,有就使用電磁波的熱處理方法進行檢討。
[先前技術文獻] [專利文獻]
專利文獻1:日本專利特開2015-070045
習知使用電磁波的處理,必需設計將經熱處理而被加熱至高溫的基板,在處理室內進行冷卻的冷卻步驟,因而會有導致生產性降低的情況。
本發明目的在於提供:即便有設計基板之冷卻步驟的情況,仍可抑制生產性降低的電磁波處理技術。
根據本發明一態樣所提供的技術,係包括有:至少2個處理室,其係被搬送有來自對基板進行搬送之搬送室之上述基板,而藉由來自加熱裝置之微波而對上述基板進行加熱處理;及 冷卻室,其係空間性地與上述搬送室連結,於上述至少2個處理室之間距上述至少2個處理室等距離而配置於上述搬送室的側壁,並具備有第1氣體供給部與排氣部,該第1氣體供給部係依第1氣體流量供給將內部環境予以沖洗的沖洗氣體,該排氣部係具有將上述沖洗氣體予以排氣之排氣配管,該冷卻室係藉由上述沖洗氣體而冷卻由上述微波進行加熱處理之上述基板。
根據本發明,可提供即便設有基板之冷卻步驟的情況,仍可抑制生產性降低的電磁波處理技術。
100‧‧‧基板處理裝置
101、101a、101b‧‧‧石英板
102、102-1、102-2‧‧‧外殼
103、103a、103b‧‧‧承載器
104‧‧‧蓋凸緣(堵塞板)
106‧‧‧裝載埠口單元(LP)
106a‧‧‧框體
106b‧‧‧平台
106c‧‧‧開啟器
107、107a~107d‧‧‧晶圓保持溝
108‧‧‧CS(晶圓冷卻用載具)
109‧‧‧冷卻外殼(冷卻容器、冷卻框體、冷卻室外殼)
110‧‧‧晶圓盒
111‧‧‧降流
121‧‧‧控制器
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸入輸出裝置
123‧‧‧外部記憶裝置
125‧‧‧移載機
125a、125a-1、125a-2‧‧‧鑷子(臂)
125b‧‧‧移載裝置
125c‧‧‧移載裝置升降機(移載機升降機)
134‧‧‧基板搬入搬出口
150‧‧‧壓力控制機構
151‧‧‧自動節流閥(背壓閥)
152‧‧‧外部排氣路徑(排氣路徑)
153‧‧‧壓力節流閥
154‧‧‧調整節流閥
156‧‧‧排氣節流閥
160‧‧‧檢測器
162‧‧‧沖洗氣體供給機構(第2氣體供給部)
164‧‧‧吸出部
166‧‧‧潔淨單元(過濾器單元)
168‧‧‧路徑
168A‧‧‧循環路徑
168B‧‧‧排氣路徑
170‧‧‧第一空間
174‧‧‧多孔板
176‧‧‧第二空間
178‧‧‧風扇
180‧‧‧搬送室用壓力感測器(搬送室用壓力計)
200、200a‧‧‧晶圓
200b‧‧‧冷卻完畢晶圓
201、201-1、201-2‧‧‧處理室(反應區塊)
202‧‧‧框體(搬送框體、搬送容器)
203‧‧‧搬送室(搬送區塊)
204‧‧‧冷卻室
205、205-1、205-2‧‧‧閘閥(GV)
206‧‧‧基板搬入搬出口
210‧‧‧載置台
212‧‧‧波紋管
217‧‧‧晶舟(基板保持具)
221‧‧‧排氣口
231‧‧‧排氣管
232‧‧‧氣體供給管
241‧‧‧質量流量控制器(MFC)
243‧‧‧閥
244‧‧‧壓力調整器(APC閥)
245‧‧‧壓力感測器
246‧‧‧真空泵
255‧‧‧軸
263‧‧‧溫度感測器
267‧‧‧驅動機構
401‧‧‧氣體供給噴嘴(冷卻室用沖洗氣體供給部、冷卻室用氣體供給噴嘴)
402‧‧‧閥(冷卻室用閥)
403‧‧‧MFC(冷卻室用MFC)
404‧‧‧氣體供給配管(冷卻室用氣體供給配管)
405‧‧‧排氣口
406‧‧‧開閉閥(冷卻室用排氣閥、APC閥)
407‧‧‧排氣配管(冷卻室用排氣配管)
408‧‧‧冷卻室用壓力感測器(冷卻室用壓力計)
409‧‧‧冷媒配管
653、653-1、653-2‧‧‧電磁波導入口
654、654-1、654-2‧‧‧導波管
655、655-1、655-2‧‧‧微波振盪器(電磁波源)
圖1係以處理爐位置表示本發明實施形態中較佳地使用之基板處理裝置的概略構成之縱剖視圖。
圖2係表示本發明實施形態中較佳地使用之基板處理裝置的概略構成之橫剖視圖。
圖3係以縱剖視圖表示本發明實施形態中較佳地使用之基板處理裝置的處理爐部分之概略構成圖。
圖4係以冷卻室之位置表示本發明實施形態中較佳地使用之基板處理裝置的概略構成之縱剖視圖。
圖5中,(A)係對於將晶圓朝冷卻室搬送的方法而示意地表示之圖;(B)係對於將已完成冷卻的晶圓從冷卻室搬出的方法而示意地表示之圖。
圖6係表示本發明實施形態中較佳地使用之搬送室的沖洗氣體循環構造圖。
圖7係本發明中較佳地使用之基板處理裝置的控制器之概略構成圖。
圖8係表示本發明之基板處理的流程之圖。
圖9中,(A)係表示利用處理室之閘閥開放而搬送室內壓力降低時,各部分的控制內容之圖;(B)係表示利用處理室之閘閥開放而搬送室內壓力上升時,各部分的控制內容之圖。
<本發明之一實施形態>
以下,針對本發明之一實施形態,根據圖式進行說明。
(1)基板處理裝置之構成
本實施形態,本發明的基板處理裝置100係構成對1片或複數片晶圓施行各種熱處理的單片式熱處理裝置,作為後述使用電磁波進行退火處理(改質處理)的裝置進行說明。本實施形態的基板處理裝置100,係使用內部收容有作為基板之晶圓200的收納容器(載具)即FOUP(Front Opening Unified Pod,前開式晶圓傳送盒,以下稱晶圓盒)110。晶圓盒110亦作為為了將晶圓200在各種基板處理裝置間進行搬送用的搬送容器而使用。
如圖1與圖2所示,基板處理裝置100係具備有當作後述處理容器用的外殼102-1、102-2。該外殼102-1、102-2分別在內部具有:內部設有搬送晶圓200之搬送室(搬送區塊)203的搬送框體(框體)202;以及設置於搬送框體202的側壁且對晶圓200施行處理的處理室201-1、201-2。又,在處理室201-1、201-2之間設有形成後述冷卻室204的冷卻外殼(冷卻容器、冷卻框體)109。在搬送室203的框體前側且朝圖1的右側(朝圖2的下側),配置有進行晶圓盒110之蓋的開閉,供將晶圓200對搬送室203進行搬送/搬出之、作為晶圓盒開閉機構用的裝載埠口單元(LP)106。裝載埠口單元106係具備有:框體106a、平台106b、及開啟器106c;平台106b載置晶圓盒110,構成使晶圓盒110靠近在搬送室203的框體前方所形成之基板搬入搬出口134,利用開啟器106c使在晶圓盒110中所設置的未圖示之蓋進行開閉。又,裝載埠口單元106亦可具有可利用N2氣體等沖洗氣體而將晶圓盒110內部沖洗的機能。又,框體202係具有為使N2等沖洗氣體在搬送室203內循環的後述沖洗氣體循環構造。
在搬送室203的框體202後側、且朝圖1的左側(朝圖2的上側),分別配置有將處理室201-1、202-2予以開閉的閘閥(GV)205-1、205-2。在搬送室203中設置有當作移載晶圓200之基板移載機構(基板移載機器人)用的移載機125。移載機125係由:當作載置晶圓200之載置部之鑷子(臂)125a-1、125a-2;可使鑷子125a-1、125a-2分別朝水平方向旋轉或進行線性移動的移載裝置125b;以及使移載裝置125b進行升降的移載裝置升降機125c所構成。利用鑷子125a-1、125a-2、移載裝置125b、移載裝置升降機 125c的連續動作,構成可對後述基板保持具217、晶圓盒110進行晶圓200裝填(補充)或卸除(退出)。以下,當外殼102-1、102-2、處理室201-1、201-2、鑷子125a-1與125a-2分別無需特別區分說明的情況,便簡稱為外殼102、處理室201、鑷子125a。
(處理爐)
圖1中由虛線所包圍之區域A係構成具有如圖3所示之基板處理構造的處理爐。如圖2所示,本實施形態的處理爐係設置複數個,但因為處理爐的構成相同,因而僅針對一個的構成進行說明,省略其餘處理爐構成的說明。如圖3所示,處理爐係具有由金屬等會反射電磁波的材料構成之作為腔體(處理容器)用的外殼102。又,由金屬材料構成的蓋凸緣(堵塞板)104係構成經由封止構件(密封構件)之O形環(未圖示),將外殼102的上端予以堵塞。主要係由外殼102與蓋凸緣104的內側空間構成對矽晶圓等基板施行處理的處理室201。在外殼102的內部亦可設置使電磁波穿透的石英製之未圖示之反應管,亦可依反應管內部成為處理室的方式構成處理容器。又,亦可不設置蓋凸緣104,而是使用頂板堵塞的外殼102構成處理室201。
在處理室201內設有載置台210,在載置台210的上面載置著保持作為基板的晶圓200之基板保持具即晶舟217。在晶舟217中,依既定間隔保持著:處理對象即晶圓200、以及依夾入晶圓200的方式載置於晶圓200的垂直方向上下方之作為絕熱板的石英板101a、101b。又,在石英板101a、101b與各個晶圓200之間,亦可載置例如矽板(Si板)、碳化矽板(SiC板)等之由會吸收電磁 波使自身被加熱的介電質等介電物質形成之間接性加熱晶圓200的承載器(亦稱為能量轉換構件、輻射板、均熱板)103a、103b。藉由依此構成,利用來自承載器103a、103b的輻射熱,便可更有效率地均勻加熱晶圓200。本實施形態中,石英板101a、101b分別係由與承載器103a、103b相同的零件構成,以下在無特別需要區分說明的情況下,僅稱石英板101、承載器103進行說明。
作為處理容器的外殼102係例如橫截面呈圓形,構成平坦的密閉容器。又,作為下部容器的搬送容器202係由例如鋁(Al)、不銹鋼(SUS)等金屬材料、或石英等構成。另外,由外殼102包圍的空間稱為當作處理空間用的處理室201或反應區塊201,亦有將由搬送容器202包圍的空間稱為當作搬送空間用的搬送室203或搬送區塊203之情況。另外,處理室201與搬送室203並不僅侷限於如本實施形態般在水平方向相鄰接而構成,亦可構成在垂直方向相鄰接而使具有既定構造的基板保持具進行升降。
如圖1、圖2及圖3所示,在搬送容器202的側面設有鄰接閘閥205的基板搬入搬出口206,而晶圓200係經由基板搬入搬出口206在處理室201與搬送室203之間進行移動。在閘閥205或基板搬入搬出口206的周邊,設有具有所使用電磁波1/4波長長度的扼流構造,作為後述電磁波漏洩對策。
在外殼102的側面設置後述之作為加熱裝置的電磁波供給部,從電磁波供給部所供給的微波等電磁波被導入處理室201並加熱晶圓200等,而對晶圓200施行處理。
載置台210係由作為旋轉軸的軸255所支撐。軸255係貫通搬送容器202的底部,更連接於在搬送容器202的外部進行 旋轉動作的驅動機構267。藉由使驅動機構267產生動作而使軸255及載置台210進行旋轉,便可使晶舟217上所載置的晶圓200進行旋轉。另外,軸255下端部周圍係由波紋管212包覆,使處理室201與搬送區塊203內保持氣密。此處,載置台210亦可構成配合基板搬入搬出口206的高度,利用驅動機構267,當晶圓200搬送時使晶圓200上升或下降至晶圓搬送位置,當晶圓200處理時則使晶圓200上升或下降至處理室201內之處理位置(晶圓處理位置)。
在處理室201的下方、且為載置台210的外周側,設有將處理室201的環境予以排氣的排氣部。如圖1所示,在排氣部係設有排氣口221。排氣口221連接有排氣管231,而排氣管231則依序串聯連接著:配合處理室201內的壓力而進行閥開度控制的APC閥等壓力調整器244、真空泵246。此處,壓力調整器244係只要為接收處理室201內的壓力資訊(來自後述壓力感測器245的回饋信號)而可調整排氣量的前提下,則不侷限於APC閥,亦可構成為併用普通開閉閥與壓力調整閥。
主要係由排氣口221、排氣管231、及壓力調整器244構成排氣部(亦稱排氣系統或排氣管路)。另外,亦可依包圍載置台210的方式設計排氣口,構成為可從晶圓200全周圍進行氣體排氣。又,排氣部的構成亦可追加真空泵246。
蓋凸緣104設有氣體供給管232,該氣體供給管232係用以將惰性氣體、原料氣體、反應氣體等各種基板處理用的處理氣體供給給處理室201內。在氣體供給管232中,從上游起依序設有:流量控制器(流量控制部)即質量流量控制器(MFC)241、及開閉閥即閥243。氣體供給管232的上游側連接著例如惰性氣體即氮(N2) 氣體源,經由MFC241、閥243而朝處理室201內供給。當基板處理時有使用複數種氣體時,可藉由使用在較氣體供給管232的閥243更靠下游側連接著氣體供給管之構成來供給複數種氣體,該氣體供給管係從上游側起依序設有流量控制器即MFC、及開閉閥即閥。亦可依每種氣體種類,設置設有MFC、閥的氣體供給管。
主要由氣體供給管232、MFC241、及閥243構成氣體供給系統(氣體供給部)。當在氣體供給系統中流通惰性氣體時,亦稱惰性氣體供給系統。惰性氣體係除N2氣體之外,尚亦可使用例如:Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。
蓋凸緣104設有作為非接觸式之溫度測定裝置的溫度感測器263。根據由溫度感測器263所檢測到的溫度資訊,調整後述微波振盪器655的輸出,而加熱基板,使基板溫度成為所需的溫度分佈。溫度感測器263係由例如IR(Infrared Radiation,紅外線輻射)感測器等放射溫度計構成。溫度感測器263係依測定石英板101a的表面溫度、或晶圓200的表面溫度之方式設置。當設有上述發熱體之承載器時,亦可構成測定承載器的表面溫度。另外,本發明記載為晶圓200的溫度(晶圓溫度)時,係指利用後述溫度轉換資料進行轉換的晶圓溫度,即,推測的晶圓溫度,以及利用溫度感測器263直接測定晶圓200之溫度而取得的溫度,等二者的含義。
亦可利用溫度感測器263分別對石英板101或承載器103、與晶圓200預先取得溫度變化推移,並將表示石英板101或承載器103、與晶圓200的溫度相關關係之溫度轉換資料,記憶於記憶裝置121c或外部記憶裝置123中。藉由依此預先製成溫度轉換資料,晶圓200的溫度便可僅測定石英板101的溫度就能推測晶 圓200的溫度,再根據所推測的晶圓200溫度,進行微波振盪器655的輸出,即加熱裝置之控制。
另外,測定基板溫度的手段並不僅侷限於上述放射溫度計,亦可使用熱電偶進行溫度測定,亦可併用熱電偶與非接觸式溫度計進行溫度測定。但,當使用熱電偶進行溫度測定時,必需將熱電偶配置於晶圓200的附近進行溫度測定。即,因為必需在處理室201內配置熱電偶,因此會藉由後述微波振盪器所供給的微波,而導致熱電偶本身被加熱,造成無法正確測溫。所以,較佳為使用非接觸式溫度計作為溫度感測器263。再者,溫度感測器263並不僅侷限於設置在蓋凸緣104內,亦可設置於載置台210。又,溫度感測器263並不僅侷限於直接設置在蓋凸緣104或載置台210,亦可構成將來自蓋凸緣104或載置台210所設置之測定窗的放射光,利用鏡等進行反射而間接性測定。又,溫度感測器263並不僅侷限於設置1個,亦可複數設置。
在外殼102的側壁設有電磁波導入口653-1、653-2。電磁波導入口653-1、653-2分別連接有用以對處理室201內供給電磁波(微波)的導波管654-1、654-2各自之一端。而導波管654-1、654-2各自另一端則連接有對處理室201內供給電磁波而加熱的加熱源即微波振盪器(電磁波源)655-1、655-2。微波振盪器655-1、655-2分別對導波管654-1、654-2供給微波等電磁波。又,微波振盪器655-1、655-2係使用磁控管(magnetron)、調速管(klystron)等。以下,電磁波導入口653-1、653-2、導波管654-1、654-2、及微波振盪器655-1、655-2,在無需特別分別區分說明的情況,謹記為電磁波導入口653、導波管654、微波振盪器655進行說明。
由微波振盪器655所生成之電磁波的頻率,較佳係控制成13.56MHz以上且24.125GHz以下的頻率範圍。又,更佳係控制成2.45GHz或5.8GHz頻率。此處,微波振盪器655-1、655-2各自頻率係可為相同頻率,亦可設置為不同頻率。再者,本實施形態中,微波振盪器655係在外殼102的側面配置2個,惟並不限於此,只要設計1個以上便可,此外,亦可以設置於外殼102之相對向之側面等不同的側面上之方式配置。主要係由微波振盪器655-1、655-2、導波管654-1、654-2及電磁波導入口653-1、653-2,構成加熱裝置之電磁波供給部(亦稱電磁波供給裝置、微波供給部、微波供給裝置)。
微波振盪器655-1、655-2分別連接有後述之控制器121。控制器121連接有溫度感測器263,該溫度感測器263測定處理室201內所收容之石英板101a或101b、或者晶圓200之溫度。溫度感測器263係依照上述方法測定石英板101、或晶圓200的溫度,並傳送給控制器121,再利用控制器121控制微波振盪器655-1、655-2的輸出,而控制晶圓200的加熱。另外,利用加熱裝置進行的加熱控制之方法,係可採用:藉由控制對微波振盪器655輸入之電壓,而控制晶圓200加熱的方法;以及藉由變更微波振盪器655的電源設為ON之時間與設為OFF之時間比率,而控制晶圓200之加熱的方法等。
此處,微波振盪器655-1、655-2係藉由從控制器121所傳送的同一控制信號進行控制。惟,並不限於此,亦可構成為藉由從控制器121分別朝微波振盪器655-1、655-2傳送個別的控制信號,而個別控制微波振盪器655-1、655-2。
(冷卻室)
如圖2與圖4所示,在搬送室203的側邊,且為處理室201-1、201-2間,距處理室201-1、201-2大致等距離位置,具體而言,以處理室201-1、201-2距基板搬入搬出口206的搬送距離成為大致相同距離之方式,由冷卻外殼109形成將已實施既定基板處理的晶圓200予以冷卻之冷卻區域即冷卻室(亦稱冷卻區塊、冷卻部)204。在冷卻室204的內部設有具有與作為基板保持具之晶舟217同樣之構造的晶圓冷卻用載具(亦稱冷卻平台,以下記為CS)108。CS108係如後述圖5所示,構成為可利用複數晶圓保持溝107a~107d垂直多層地水平保持複數片晶圓200。又,在冷卻外殼109上設有當作冷卻室用沖洗氣體供給部的氣體供給噴嘴(冷卻室用氣體供給噴嘴)401。該氣體供給噴嘴401係經由氣體供給配管(冷卻室用氣體供給配管)404,依預定的第1氣體流量供給將冷卻室204內之環境予以沖洗用之沖洗氣體(冷卻室用沖洗氣體)即惰性氣體。氣體供給噴嘴401係可為噴嘴端部呈開口的開口噴嘴,較佳係使用面朝CS108側的噴嘴側壁上設有複數氣體供給口的多孔噴嘴。又,氣體供給噴嘴401亦可設計複數個。另外,從氣體供給噴嘴401供給的沖洗氣體,亦可使用為將由CS108所載置之經處理後之晶圓200予以冷卻的冷卻氣體。
再者,在冷卻室204中設有:用以將冷卻室用沖洗氣體予以排氣的排氣口405、用以調節氣體排氣量之作為冷卻室用排氣閥的開閉閥(或APC閥)406、以及作為冷卻室用排氣配管的排氣配管407。亦可在開閉閥406的後段之排氣配管407,設置用以將 冷卻室204內的環境積極地排氣的未圖示之冷卻室用真空泵。排氣配管407亦可連接於後述之用以使搬送室203內的環境氣體進行循環用的沖洗氣體循環構造,而進行循環。此情況,排氣配管407較佳係連接於後述之圖6所示之循環路徑168A,更佳係連接(合流)於循環路徑168A的下游且潔淨單元166之正前方的上游位置。
再者,在冷卻外殼109中設有檢測冷卻室204內壓力的冷卻室用壓力感測器(冷卻室用壓力計)408,依由搬送室用壓力感測器(搬送室用壓力計)180所檢測到的搬送室內之壓力與冷卻室204內的差壓設為一定之方式,利用後述控制器121控制著作為冷卻室用MFC的MFC403、作為冷卻室用閥的閥402,而實施沖洗氣體的供給或供給停止,又,控制著開閉閥405與冷卻室用真空泵,而控制著沖洗氣體的排氣或排氣停止。藉由該等控制,而進行冷卻室204內的壓力控制、以及CS108所載置晶圓200的溫度控制。另外,主要係由氣體供給噴嘴401、閥402、MFC403、及氣體供給配管404,構成冷卻室用氣體供給系統(第1氣體供給部),又,主要係由排氣口405、開閉閥406、及排氣配管407構成冷卻室用氣體排氣系統(冷卻室用氣體排氣部)。冷卻室用氣體排氣系統亦可涵蓋冷卻室用真空泵。又,在冷卻室204內亦可設置用以測定由CS108所載置之晶圓200之溫度的未圖示之溫度感測器。此處,在晶圓保持溝107a~107d無需個別特別區分說明情況下,亦簡稱為晶圓保持溝107。
(沖洗氣體循環構造)
其次,針對本實施形態之搬送室203中所設置之搬送室203內 的沖洗氣體循環構造,使用圖1、圖6進行說明。如圖6所示,搬送室203係具備有沖洗氣體供給機構(第2氣體供給部)162、以及壓力控制機構150,該沖洗氣體供給機構162係依預定第2氣體流量朝在搬送室203周圍形成的管道內供給作為沖洗氣體的惰性氣體或空氣(新鮮空氣),該壓力控制機構150係進行搬送室203內的壓力控制。沖洗氣體供給機構162係構成為配合由主要檢測搬送室203內之氧濃度的檢測器160所測得之檢測值而朝管道內供給沖洗氣體。檢測器160係設置於潔淨單元166的上方(上游側),該潔淨單元166係去除粉塵、雜質,且作為朝搬送室203內供給沖洗氣體的氣體供給機構。潔淨單元166係由:為了去除粉塵或雜質的過濾器、與為了送入沖洗氣體的送風機(風扇)構成。利用沖洗氣體供給機構162與壓力控制機構150,便可控制搬送室203內的氧濃度。此處,檢測器160亦可構成為除氧濃度之外,亦可檢測水分濃度。
壓力控制機構150係由依將搬送室203內保持既定壓力方式構成的調整節流閥154、以及依將排氣路徑152予以全開或全關方式構成的排氣節流閥156所構成。調整節流閥154係由以當搬送室203內的壓力高於既定壓力便開啟之方式構成之自動節流閥(背壓閥)151、以及依控制自動節流閥151開閉之方式構成的沖壓節流閥153所構成。依此藉由對調整節流閥154與排氣節流閥156的開閉進行控制,便可將搬送室203內控制為任意之壓力。
如圖6所示,在搬送室203的頂板部分別於左右各設置1個潔淨單元166。在移載機125的周邊設置整理沖洗氣體流動之整流板即多孔板174。多孔板174係設有複數孔,例如由沖孔板(punching panel)形成。藉由設置多孔板174,搬送室203內的空間 便被區分為上部空間即第一空間170與下部空間即第二空間176。即,在頂板部與多孔板174間的空間中,形成晶圓搬送區域即第一空間170,此外,在多孔板174與搬送室203地面之間的空間中,形成氣體排氣區域即第二空間176。
在搬送室203下方即第二空間176之下半部,包夾移載機125左右分別各設1個使在搬送室203內流動的沖洗氣體進行循環與排氣的吸出部164。又,在框體202的壁面內,即,框體202的外壁面與內壁面之間,形成有分別將左右一對吸出部164與左右一對過濾器單元166予以連接之循環路徑與排氣路徑即路徑168。藉由在路徑168中設置將流體予以冷卻的未圖示之冷卻機構(散熱器),便可控制循環沖洗氣體的溫度。
路徑168分支為循環路徑即循環路徑168A與排氣路徑168B等2個路徑。循環路徑168A係連接於潔淨單元166的上游側而再度朝搬送室203內供給沖洗氣體的流路。排氣路徑168B係連接於壓力控制機構150而將沖洗氣體予以排氣的流路,在框體202左右設計的排氣路徑168B係在下游處合流成一條外部排氣路徑152。
其次,針對搬送室203內的氣體流動進行說明。如圖6所示之箭頭係示意性表示從沖洗氣體供給機構162供給之沖洗氣體流動的圖。例如將作為沖洗氣體之N2氣體(惰性氣體)導入於搬送室203內的情況,N2氣體係經由潔淨單元166,被從搬送室203的頂板部供給至搬送室203內,並在搬送室203內形成降流111。藉由在搬送室203內設置多孔板174,而將搬送室203內的空間主要區分為晶圓200搬送之區域即第1空間170、與微塵容易沉降的第 2空間176,藉此具有在第1空間170與第2空間176之間形成差壓的構造。此時,第1空間170的壓力高於第2空間176的壓力。藉由此種構成,可抑制從鑷子125a下方的移載機升降機125c等驅動部所產生的微塵朝晶圓搬送區域內飛散之情形。又,亦可抑制搬送室203地面的微塵朝第1空間170揚起之情形。
利用降流111供給至第2空間176的N2氣體,利用吸出部164被從搬送室203中吸出。被從搬送室203中吸出的N2氣體,在吸出部164的下游處分開為循環路徑168A與排氣路徑168B等2個流路。被導入於循環路徑168A的N2氣體會在框體202的上方流動,並經由潔淨單元166而在搬送室203內循環。又,被導入於排氣路徑168B的N2氣體會在框體202的下方流動,再利用外部排氣路徑152被朝外部排出。此處,當循環路徑168的傳導較小時,亦可在左右的吸出部164中設置作為促進N2氣體循環之送風機的風扇178。藉由設置風扇178,便可使N2氣體的流動呈良好,俾容易形成循環氣流。依此,藉由分開左右2個系統進行循環與排氣,便可在搬送室203內形成均勻氣流。
此處,是否使N2氣體在搬送室203內循環,亦可藉由調整節流閥154與排氣節流閥156的開閉進行控制。即,亦可構成為當使N2氣體在搬送室203內循環時,藉由開啟自動節流閥151與壓力節流閥153,且關閉排氣節流閥156,便輕易形成朝搬送室203內之循環氣流。此情況,被導入於排氣路徑168B內的N2氣體,可滯留於排氣路徑168B內,亦可在循環路徑168A中流動。
此處,晶圓盒110內的壓力、搬送室203內的壓力、處理室201內的壓力、及冷卻室204內的壓力,係利用控制器121 控制各構件,使全部均為大氣壓,或較大氣壓高出10Pa以上~200Pa以下(錶壓(gage pressure))左右的壓力。另外,較佳為,後述之爐內壓力‧溫度調整步驟S803、惰性氣體供給步驟S804、改質步驟S805各者中分別控制成搬送室203內的壓力高於處理室201與冷卻室204的壓力,此外,處理室201內的壓力較高於晶圓盒110內的壓力;又,較佳為,基板搬入步驟S802、基板搬出步驟S806、基板冷卻步驟S807各者中分別控制成搬送室203內的壓力低於處理室201內的壓力,且高於冷卻室204內的壓力。
(控制裝置)
如圖7所示,控制部(控制裝置、控制手段)即控制器121係構成具備有:CPU(Central Processing Unit,中央處理器)121a、RAM(Random Access Memory,隨機存取記憶體)121b、記憶裝置121c、及I/O埠121d的電腦。RAM121b、記憶裝置121c、I/O埠121d係構成為可經由內部匯流排121e而與CPU121a進行資料交換。控制器121連接有例如構成為觸控板等的輸入輸出裝置122。
記憶裝置121c係由例如快閃記憶體(flash memory)、HDD(Hard Disk Drive,硬碟機)等構成。在記憶裝置121c內可讀取地儲存著記載有控制基板處理裝置動作的控制程式、退火(改質)處理之程序與條件等之製程配方等。製程配方係使控制器121執行後述之基板處理步驟的各程序,並依可獲得既定結果的方式組合而成者,其作為程式而發揮功能。以下,亦將該製程配方、控制程式等一併簡稱為程式。又,亦將製程配方簡稱為配方。本說明書中當使用程式一詞時,係有僅包括配方個體的情況、僅包括控制程式個體 的情況、或包括該等二者的情況。又,RAM121b係構成為暫時性儲存由CPU121a所讀取之程式或資料等的記憶體區域(工作區塊)。
I/O埠121d係連接於上述的MFC241、閥243、壓力感測器245、APC閥244、真空泵246、溫度感測器263、驅動機構267、微波振盪器655等。
CPU121a係構成為從記憶裝置121c讀取控制程式並執行,且配合從輸入輸出裝置122的操作指令輸入等而從記憶裝置121c讀取配方。CPU121a係依照所讀取配方的內容,針對由MFC241進行的各種氣體之流量調整動作、閥243的開閉動作、根據壓力感測器245而由APC閥244進行的壓力調整動作、真空泵246的啟動與停止、根據溫度感測器263的微波振盪器655之輸出調整動作、由驅動機構267進行的載置台210(或晶舟217)之旋轉與旋轉速度調節動作、或升降動作等進行控制。
控制器121係可藉由將外部記憶裝置(例如:硬碟等磁碟、CD等光碟、MO等光磁碟、USB記憶體等半導體記憶體)123中所儲存的上述程式,安裝於電腦而構成。記憶裝置121c或外部記憶裝置123係構成為電腦可讀取的記錄媒體。以下,亦將該等一併簡稱為記錄媒體。本說明書中使用記錄媒體用語時,有包含僅有記憶裝置121c個體的情況、僅有外部記憶裝置123個體的情況時、或者包含該等二者的情況。另外,對電腦的程式提供亦可不要使用外部記憶裝置123,而使用網際網路或專用線路等通訊手段進行。
(2)基板處理步驟
接著,使用上述基板處理裝置100的處理爐,就所進行半導體 裝置(設備)之製造工驟之一步驟,針對例如在基板上形成之含矽膜即非晶矽膜的改質(結晶化)方法之一例,參照圖8所示處理流程進行說明。以下說明中,構成基板處理裝置100的各部分之動作,係利用控制器121進行控制。又,與上述處理爐構造同樣地,本實施形態之基板處理步驟中,針對處理內容(即配方),亦是在設置複數個處理爐中使用相同配方,因而僅針對使用其中一處理爐的基板處理步驟進行說明,使用其他處理爐進行的基板處理步驟則省略說明。
此處,本說明書中採用晶圓用詞時,係有指晶圓本身的情況或晶圓與在其表面上所形成之既定層或膜的積層體情況。本說明書中,採用晶圓表面用詞時,係有指晶圓本身的表面之情況或在晶圓上形成之既定層等的表面之情況。本說明書中,記載在晶圓上形成既定層的情況,係指直接在晶圓本身的表面上形成既定層的情況或在晶圓上形成之層等之上形成既定之層的情況。本說明書中,使用基板用詞時,亦與使用晶圓用詞的情況同義。
(基板取出步驟(S801))
如圖1所示,移載機125係從利用裝載埠口單元106而被開口的晶圓盒110中取出既定片數之處理對象的晶圓200,並在鑷子125a-1、125a-2其中任一者或雙方上載置晶圓200。
(基板搬入步驟(S802))
如圖3所示,在鑷子125a-1、125a-2其中任一者或雙方上載置的晶圓200係利用閘閥205的開閉動作而被搬入於既定之處理室 201中(晶舟裝載)(S802)。
(爐內壓力‧溫度調整步驟(S803))
若完成晶舟217朝處理室201內的搬入,便控制著處理室201內的環境,使處理室201內成為既定壓力(例如10~102000Pa)。具體而言,一面利用真空泵246進行排氣,一面根據由壓力感測器245所檢測到的壓力資訊,對壓力調整器244的閥開度進行回饋控制,使處理室201內成為既定壓力。又,同時亦可控制電磁波供給部而作為預備加熱,以加熱至既定溫度方式進行控制(S803)。當利用電磁波供給部升溫至既定之基板處理溫度時,較佳為,依晶圓200不會變形、破損的方式,依小於後述改質步驟輸出的輸出進行升溫。另外,當在大氣壓下施行基板處理時,亦可以如下方式控制,即不施行爐內壓力調整,而僅施行爐內的溫度調整,其後,便移往後述之惰性氣體供給步驟S804。
(惰性氣體供給步驟(S804))
若利用爐內壓力‧溫度調整步驟S803,將處理室201內的壓力與溫度控制成為既定值,驅動機構267便使軸255進行旋轉,而經由載置台210上的晶舟217使晶圓200進行旋轉。此時,經由氣體供給管232供給氮氣等惰性氣體(S804)。又,此時,處理室201內的壓力係調整成為10Pa以上且102000Pa以下範圍內的既定值,例如101300Pa以上且101650Pa以下。另外,軸亦可在基板搬入步驟S402時,即,經完成晶圓200搬入於處理室201內之後才旋轉。
(改質步驟(S805))
若將處理室201內維持成既定壓力,微波振盪器655便經由上述各部分對處理室201內供給微波。藉由對處理室201內供給微波,晶圓200便被加熱成為100℃以上且1000℃以下的溫度,較佳係加熱成為400℃以上且900℃以下的溫度,更佳係加熱成為500℃以上且700℃以下的溫度。藉由在此種溫度下進行基板處理,而成為在效率較佳地吸收微波之溫度下對晶圓200進行基板處理,俾能提升改質處理的速度。換言之,若在晶圓200的溫度低於100℃的溫度、或高於1000℃的溫度下施行處理,則晶圓200的表面會變質,導致較難吸收微波,因而較難加熱晶圓200。所以,最好在上述溫度帶進行基板處理。
利用由微波施行加熱的方式執行加熱之本實施形態中,為了抑制於處理室201產生駐波,導致晶圓200(有載置承載器103時,承載器103亦與晶圓200同樣)上,產生局部性加熱的加熱集中區域(熱點)、與其以外之未被加熱之區域(非加熱區域),而造成晶圓200(有載置承載器103時,承載器103亦與晶圓200同樣)出現變形的情形,因此便藉由控制電磁波供給部的電源ON/OFF,而抑制於晶圓200產生熱點之情形。此時,藉由將電磁波供給部的供給電力設為低輸出,而將熱點的影響控制為較小,藉此亦可抑制晶圓200之變形。但,此情況,因為對晶圓200或承載器103所照射的能量變小,因而升溫溫度亦變小,造成必需延長加熱時間。
此處,如上述,溫度感測器263係非接觸式溫度感測器,若測定對象即晶圓200(有載置承載器103時,承載器103亦與晶圓200同樣)產生變形或破損,則溫度感測器所監控之晶圓200 的位置、對晶圓200的測定角度會出現變化,導致測定值(監控值)不正確,造成測定溫度出現急遽變化。本實施形態,將此種因測定對象的變形、破損,造成放射溫度計的測定溫度出現急遽變化之情形,利用作為進行電磁波供給部ON/OFF的觸發器。
藉由依如上述控制著微波振盪器655,便加熱晶圓200,使晶圓200表面上所形成的非晶矽膜,改質(結晶化)為多晶矽膜(S805)。即,可將晶圓200進行均勻改質。另外,當晶圓200的測定溫度高於或低於上述臨限值時,亦可不將微波振盪器655設為OFF,而藉由控制成降低微波振盪器655的輸出,藉此使晶圓200的溫度成為既定範圍之溫度。此情況,以如下方式進行控制,即若晶圓200的溫度回復至既定範圍之溫度,便提高微波振盪器655的輸出。
若經過預設的處理時間,便停止晶舟217的旋轉、氣體供給、微波供給、以及排氣管的排氣。
(基板搬出步驟(S806))
待處理室201內的壓力返回大氣壓後,便開放閘閥205,使處理室201與搬送室203空間性地連通。然後,將晶舟所載置的晶圓200,利用移載機125的鑷子125a搬出於搬送室203(S806)。
(基板冷卻步驟(S807))
由鑷子125a搬出的晶圓200,便利用移載裝置125b、移載裝置升降機125c的連續動作,移動至冷卻室204,再利用鑷子125a載置於CS108上。具體而言,如圖5(A)所示,由鑷子125a-1保持 之經改質處理S805後的晶圓200a,被移送入CS108上所設置的晶圓保持溝107b中,藉由載置既定時間而冷卻晶圓200a(S807)。此時,如圖5(B)所示,當之前於CS108載置有經冷卻過的冷卻完畢晶圓200b情況下,將已完成改質處理S805後的晶圓200a載置於晶圓保持溝107b後之鑷子125a-1、或其他空的鑷子(例如鑷子125a-2)係將冷卻完畢晶圓200b搬送至裝載埠口即晶圓盒110。
(基板收容步驟(S808))
藉由基板冷卻步驟S807進行冷卻過的晶圓200,便利用鑷子125a從CS108中搬出,並搬送至既定之晶圓盒110中(S808)。
藉由重複以上的動作,晶圓200便被施行改質處理,然後移往下一的基板處理步驟。又,已對在晶舟217上載置2片晶圓200,藉此施行基板處理的構成進行說明,惟並不限於此,亦可使晶圓200各1片地載置於設置在處理室201-1、201-2各者之晶舟217而進行相同處理,亦可藉由執行交換處理,而由處理室201-1、201-2各2片地處理晶圓200。此時,亦可依處理室201-1、201-2各自執行的基板處理之次數為一致之方式,控制著晶圓200的搬送目的地。藉由依此進行控制,各處理室201-1、201-2的基板處理之實施次數為一定,便可效率較佳地執行保養等之保養作業。例如前次搬送晶圓200的處理室係處理室201-1時,便控制成下一個晶圓200的搬送目的地係處理室201-2,藉此便可控制各處理室201-1、201-2的基板處理之實施次數。
再者,鑷子125a-1與125a-2亦可分別設計成,用以搬送經基板處理而成為高溫晶圓200的高溫用鑷子,以及用以搬送 除高溫外之溫度之晶圓200的低溫用鑷子。例如亦可以如下方式進行控制,將鑷子125a-1設為高溫用鑷子,並將鑷子125a-2設為低溫用鑷子,藉此僅以鑷子125a-1將藉由改質步驟S805而成高溫的晶圓200搬送至冷卻室204,而在除從處理室201搬出時之外的其餘搬送時間點,均由鑷子125a-2搬送晶圓200。
(3)冷卻室內壓力控制
接著,使用圖9(A)、(B),針對冷卻室204內的壓力控制進行說明。於與基板處理步驟相同地,在以下說明中,各部分的動作均由控制器121控制。如圖4所示,本實施形態的冷卻室204中,並未配置如同將處理室201與搬送室203予以空間性隔離之閘閥205般的隔牆。所以,配合冷卻室204內的壓力,在搬送室203內流動的沖洗氣體之氣體流動會產生變化。而搬送室203內的氣體流動之變化便成為搬送室203內產生沖洗氣體亂流的原因、搬送室內揚起微塵的原因、以及晶圓搬送時出現晶圓偏移的原因,結果產生所形成之膜質降低、產能降低等不良影響。為抑制該等不良影響,必需控制冷卻室204內的壓力。為進行該壓力控制,便將供給給搬送室203內的沖洗氣體流量,控制為大於供給給冷卻室204的沖洗氣體之流量。
此處,供給給搬送室203內的沖洗氣體之流量,較佳為依成為100slm以上且2000slm以下的方式供給。假設依較小於100slm的流量進行氣體供給時,難以將搬送室203內完全沖洗,導致在搬送室203內殘留雜質或副產物。又,假設依大於2000slm的流量進行氣體供給時,當利用移載機125進行晶圓200搬送時,將 成為載置於既定位置處的晶圓200出現偏移的原因,以及成為搬送室框體202的角部等處發生漩渦等亂流的原因,及揚起微塵等雜質的原因。
再者,當設定為朝上述之搬送室203內的氣體供給流量時,朝冷卻室204內供給的沖洗氣體流量,較佳係依成為10slm以上且800slm以下的方式供給。假設依小於10slm的流量進行氣體供給時,難以將冷卻室204內完全沖洗,導致在搬送室203內殘留雜質或副產物。又,假設依大於800slm的流量進行氣體供給時,當利用移載機125搬送晶圓200時,將成為載置於既定位置處的晶圓200出現偏移的原因,以及成為冷卻室外殼109的角部等處發生漩渦等亂流的原因、及揚起微塵等雜質的原因。
當對搬送室203內的壓力與冷卻室204內的壓力進行控制時,較佳為例如以如下方式進行控制,由搬送室用壓力感測器180所檢測到之搬送室203內的壓力值,經常高於由冷卻室用壓力感測器408所檢測到之冷卻室204內的壓力值。即,較佳為以搬送室203內的壓力高於冷卻室204內的壓力之方式控制。此時,特別係以搬送室203與冷卻室204的壓力差大於0Pa,且維持在100Pa以下之方式控制,藉此可將冷卻室204內的壓力對搬送室203內的沖洗氣體流動所造成之影響抑制至最小極限。假設,若將搬送室203與冷卻室204的壓力差設為0Pa,則搬送室203與冷卻室204的壓力差便消失,供給給冷卻室的沖洗氣體會逆流回搬送室203,導致搬送室203內的氣體流動出現變化。又,若搬送室203與冷卻室204的壓力差大於100Pa,則供給給搬送室203的沖洗氣體便會以必要以上的量流入於冷卻室204內,導致搬送室203內的氣體流動出現 大變化。以下說明中,針對將搬送室203與冷卻室204的壓力差控制成為10Pa的情況進行敘述。
首先,針對藉由開放在處理室201內所設置的閘閥205,而降低搬送室203內壓力時的控制,使用圖9(A)進行說明。
如圖9(A)所示,例如在從基板處理步驟的爐內壓力‧溫度調整步驟S803起至實施改質步驟S805之期間等之、在處理室201中所配置的閘閥205係為關閉狀態下,依搬送室203內的壓力成為50Pa,冷卻室204內的壓力成為40Pa方式,關閉開閉閥406,且依從氣體供給噴嘴401供給給冷卻室204內的氣體流量成為100slm之方式,控制著MFC403(STEP1)。
從STEP1的狀態實施例如基板搬出步驟S806等,藉由開放在處理室201中所配置的閘閥205,搬送室203內的壓力便會降低,由搬送室用壓力感測器180檢測到已成為40Pa(STEP2)。
若搬送室用壓力感測器180檢測到既定壓力值,控制器121便以開放開閉閥405,使冷卻室204內的壓力降低之方式控制(STEP3)。此時,閘閥205係維持開放之狀態。
在STEP3的狀態後,例如在基板搬出步驟S806中,若完成從處理室201的晶圓200之搬出處理,便關閉閘閥205。若閘閥205被關閉,控制器121便以關閉開閉閥,使搬送室203與冷卻室204的壓力差維持既定值之方式控制(STEP4)。藉由依如上述進行控制,即便因閘閥205開放,導致搬送室203內的壓力降低之情況,仍可適當地調整冷卻室204內的壓力,使搬送室203與冷卻室204的壓力差維持一定,而使搬送室203內的氣體流動不會凌亂,便能抑制膜質降低或產能降低。
其次,針對藉由開放在處理室201內所設置的閘閥205,而使搬送室203內壓力上升的情況之控制,使用圖9(B)進行說明。
如圖9(B)所示,例如在從基板處理步驟的爐內壓力‧溫度調整步驟S803起實施改質步驟S805之期間等之、在處理室201內所配置閘閥205被關閉的狀態下,依搬送室203內的壓力成為50Pa、冷卻室204內的壓力成為40Pa之方式,關閉開閉閥406,且依從氣體供給噴嘴401供給給冷卻室204內的氣體流量成為100slm方式,控制著MFC403(STEP5)。另外,此狀態的各部分之控制,係與圖9(A)所施行之STEP1的說明相同。
從STEP5的狀態開放閘閥205,藉此,搬送室203內的壓力便上升,由搬送室用壓力感測器180檢測已成為60Pa(STEP6)。
搬送室用壓力感測器180若檢測到既定壓力值,控制器121便以在開閉閥406維持關閉狀態下,使從氣體供給噴嘴401供給至冷卻室內的氣體流量增加至150slm,而使冷卻室204內的壓力上升之方式,控制著MFC403(STEP7)。
若利用STEP7使冷卻室204內的壓力成為既定值,控制器121便依關閉開閉閥,將搬送室203與冷卻室204的壓力差維持既定值的方式進行控制(STEP8)。
藉由依如上述進行控制,即便利用閘閥205開放而使搬送室203內的壓力上升之情況,仍可適當地調整冷卻室204內的壓力,將搬送室203與冷卻室204的壓力差維持一定,而使搬送室203內的氣體流動不會凌亂,便能抑制膜質降低或產能降低。
再者,本實施形態針對沒有設置將搬送室203與冷卻室204空間性地隔離的閘閥之構造進行說明,惟並不限於此,即便在冷卻室204的側壁上,有設置將搬送室203與冷卻室204予以空間性隔離的閘閥之情況,亦可進行上述冷卻室內的壓力控制。又,亦可構成在冷卻室204的側壁面上,設置冷媒流通的冷媒配管409,俾提升冷卻效率。
(4)本實施形態的效果
根據本實施形態可獲得以下所示之1項或複數項效果。
(a)藉由對冷卻室內供給沖洗氣體,便可將冷卻室內的雜質或副產物施行沖洗,而可抑制處理完畢晶圓上附著雜質等膜質降低情形。
(b)藉由對冷卻室內供給沖洗氣體,便可使沖洗氣體作為冷卻氣體而發揮功能,可有效率地冷卻處理完畢晶圓。
(c)藉由在冷卻室內設計氣體供給系統與氣體排氣系統,便可進行冷卻室內的壓力控制,能控制與搬送室內間的壓力差,藉此可將對搬送室內的氣體流動之影響降至最小極限。
(d)藉由將對搬送室內的氣體流動之影響降至最小極限,便可抑制裝置的產能降低、且抑制在晶圓上所形成之膜的膜質降低。
以上,已根據實施形態而對本發明進行說明,惟上述實施形態係可適當變更而使用,仍可獲得該等效果。
例如上述各實施形態中,作為以矽為主成分之膜,記載有將非晶矽膜改質為多晶矽膜的處理,惟並不限於此,亦可供給 含有氧(O)、氮(N)、碳(C)、氫(H)中之至少1種以上的氣體,而將晶圓200表面上所形成的膜進行改質。例如在晶圓200上,形成作為高介電質膜之氧化鉿膜(HfxOy膜)時,藉由一邊供給含氧氣體,一邊供給微波進行加熱,便可補充氧化鉿膜中缺損的氧,俾提升高介電質膜的特性。另外,此處雖例示氧化鉿膜,惟並不限於此,即便針對含有鋁(Al)、鈦(Ti)、鋯(Zr)、鉭(Ta)、鈮(Nb)、鑭(La)、鈰(Ce)、釔(Y)、鋇(Ba)、鍶(Sr)、鈣(Ca)、鉛(Pb)、鉬(Mo)、鎢(W)等中之至少任一金屬元素的氧化膜,即,金屬系氧化膜進行改質的情況,仍可較適地使用。即,上述成膜序列係即便於晶圓200上對TiOCN膜、TiOC膜、TiON膜、TiO膜、ZrOCN膜、ZrOC膜、ZrON膜、ZrO膜、HfOCN膜、HfOC膜、HfON膜、HfO膜、TaOCN膜、TaOC膜、TaON膜、TaO膜、NbOCN膜、NbOC膜、NbON膜、NbO膜、AlOCN膜、AlOC膜、AlON膜、AlO膜、MoOCN膜、MoOC膜、MoON膜、MoO膜、WOCN膜、WOC膜、WON膜、WO膜進行改質的情況,仍可較適地使用。
再者,不限於高介電質膜,亦可對經摻雜雜質且以矽為主成分的膜進行加熱。以矽為主成分的膜有氮化矽膜(SiN膜)、氧化矽膜(SiO膜)、碳氧化矽膜(SiOC膜)、氮碳氧化矽膜(SiOCN膜)、氮氧化矽膜(SiON膜)等Si系氧化膜。雜質係含有例如:硼(B)、碳(C)、氮(N)、鋁(Al)、磷(P)、鎵(Ga)、砷(As)等中之至少1種以上。
再者,亦可為以聚甲基丙烯酸甲酯樹脂(Polymethyl methacrylate:PMMA)、環氧樹脂、酚醛樹脂、聚乙烯-苯樹脂等中之至少任一者為底層的光阻膜。
再者,上述係針對半導體裝置之製造步驟的一步驟進行敘述,惟並不限於此,於液晶面板之製造步驟的圖案化處理、太陽電池之製造步驟的圖案化處理、功率裝置之製造步驟的圖案化處理等之、對基板施行處理的技術亦均能適用。
(產業上之可利用性)
如上述,根據本發明,可提供即便設有基板的冷卻步驟之情況,仍可抑制生產性降低的電磁波處理技術。
100‧‧‧基板處理裝置
106‧‧‧裝載埠口單元(LP)
106a‧‧‧框體
106b‧‧‧平台
106c‧‧‧開啟器
108‧‧‧CS(晶圓冷卻用載具)
109‧‧‧冷卻外殼(冷卻容器、冷卻框體、冷卻室外殼)
110‧‧‧晶圓盒
111‧‧‧降流
121‧‧‧控制器
125‧‧‧移載機
125a-1、125a-2‧‧‧鑷子(臂)
125b‧‧‧移載裝置
125c‧‧‧移載裝置升降機(移載機升降機)
134‧‧‧基板搬入搬出口
166‧‧‧潔淨單元(過濾器單元)
180‧‧‧搬送室用壓力感測器(搬送室用壓力計)
202‧‧‧框體(搬送框體、搬送容器)
203‧‧‧搬送室(搬送區塊)
204‧‧‧冷卻室
401‧‧‧氣體供給噴嘴(冷卻室用沖洗氣體供給部、冷卻室用氣體供給噴嘴)
402‧‧‧閥(冷卻室用閥)
403‧‧‧MFC(冷卻室用MFC)
404‧‧‧氣體供給配管(冷卻室用氣體供給配管)
405‧‧‧排氣口
406‧‧‧開閉閥(冷卻室用排氣閥、APC閥)
407‧‧‧排氣配管(冷卻室用排氣配管)
408‧‧‧冷卻室用壓力感測器(冷卻室用壓力計)
409‧‧‧冷媒配管

Claims (15)

  1. 一種基板處理裝置,係包括有:至少2個處理室,其等係被搬送有來自對基板進行搬送之搬送室之上述基板,並藉由來自加熱裝置之微波而對上述基板進行加熱處理;及冷卻室,其係空間性地與上述搬送室連結,於上述至少2個處理室之間距上述至少2個處理室等距離且配置於上述搬送室的側壁,並具備有第1氣體供給部與排氣部,該第1氣體供給部係依第1氣體流量供給將內部環境予以沖洗的沖洗氣體,該排氣部係具有將上述沖洗氣體予以排氣的排氣管,該冷卻室係藉由上述沖洗氣體而冷卻由上述微波進行加熱處理之上述基板;上述搬送室係具有第2氣體供給部,該第2氣體供給部係依較上述第1氣體流量更大流量的第2氣體流量,供給將內部環境予以沖洗的沖洗氣體。
  2. 如請求項1之基板處理裝置,其中,具有構成為依上述第1氣體流量成為10slm以上且800slm以下的方式控制上述第1氣體供給部的控制部。
  3. 如請求項1之基板處理裝置,其中,具有構成為依上述第2氣體流量成為100slm以上且2000slm以下的方式控制上述第2氣體供給部的控制部。
  4. 如請求項1之基板處理裝置,其中,上述排氣管係以在上述第2氣體供給部的上游合流之方式配置,構成從上述排氣部排氣的氣體進行循環的循環構造。
  5. 如請求項1之基板處理裝置,其中,具有控制部,其以配合所 檢測到上述搬送室內的壓力與上述冷卻室內的壓力之值對上述第1氣體供給部與上述排氣部進行控制之方式所構成。
  6. 如請求項1之基板處理裝置,其中,上述搬送室的壓力係控制為高於上述冷卻室內的壓力。
  7. 如請求項4之基板處理裝置,其中,上述搬送室內的壓力與上述冷卻室的壓力之差係大於0Pa且在100Pa以下。
  8. 一種半導體裝置之製造方法,係包括有:將基板搬送至基板處理裝置之處理室內的步驟,該基板處理裝置係具有:至少2個處理室,其等係被搬送有來自對上述基板進行搬送之搬送室之上述基板,並藉由來自加熱裝置之微波而對上述基板進行加熱處理;及冷卻室,其係空間性地與上述搬送室連結,於上述至少2個處理室之間距上述至少2個處理室等距離且配置於上述搬送室的側壁,並具備有第1氣體供給部與排氣部,該第1氣體供給部係依第1氣體流量供給將內部環境予以沖洗的沖洗氣體,該排氣部係具有將上述沖洗氣體予以排氣的排氣管,該冷卻室係藉由上述沖洗氣體而冷卻由上述微波進行加熱處理之上述基板;上述搬送室係具有第2氣體供給部,該第2氣體供給部係依較上述第1氣體流量更大流量的第2氣體流量,供給將內部環境予以沖洗的沖洗氣體;在上述處理室內,對上述基板進行既定處理的步驟;將經進行上述既定處理的上述基板從上述處理室搬出的步驟;及將從上述處理室搬出的上述基板搬送至上述冷卻室,並將上述基板冷卻的步驟。
  9. 如請求項8之半導體裝置之製造方法,其中,上述第1氣體流 量係10slm以上且800slm以下。
  10. 如請求項8之半導體裝置之製造方法,其中,上述冷卻的步驟中,上述搬送室的壓力係高於冷卻室的壓力。
  11. 如請求項8之半導體裝置之製造方法,其中,上述冷卻的步驟中,將從上述處理室搬出的上述基板搬送至配置於2個上述處理室間且於上述搬送室的側面的冷卻室。
  12. 一種記錄媒體,係記憶有利用電腦使基板處理裝置執行下述程序之程式者:將基板搬送至上述基板處理裝置之處理室內的程序,上述基板處理裝置係具有:至少2個處理室,其等係被搬送有來自對上述基板進行搬送之搬送室之上述基板,並藉由來自加熱裝置之微波而對上述基板進行加熱處理;及冷卻室,其係空間性地與上述搬送室連結,於上述至少2個處理室之間距上述至少2個處理室等距離且配置於上述搬送室的側壁,並具備有第1氣體供給部與排氣部,該第1氣體供給部係依第1氣體流量供給將內部環境予以沖洗的沖洗氣體,該排氣部係具有將上述沖洗氣體予以排氣的排氣管,該冷卻室係藉由上述沖洗氣體而冷卻由上述微波進行加熱處理之上述基板;上述搬送室係具有第2氣體供給部,該第2氣體供給部係依較上述第1氣體流量更大流量的第2氣體流量,供給將內部環境予以沖洗的沖洗氣體;在上述處理室內對上述基板進行既定處理的程序;將經進行上述既定處理的上述基板從上述處理室搬出的程序;及將從上述處理室搬出的上述基板搬送至上述冷卻室,並將上述基板冷卻的程序。
  13. 如請求項12之記錄媒體,其中,上述第1氣體流量係10slm以上且800slm以下。
  14. 如請求項12之記錄媒體,其中,上述冷卻的程序中,上述搬送室的壓力係高於冷卻室的壓力。
  15. 如請求項12之記錄媒體,其中,上述冷卻的程序中,將從上述處理室搬出的上述基板搬送至配置於2個上述處理室間且於上述搬送室的側面的冷卻室。
TW107128995A 2017-09-01 2018-08-20 基板處理裝置、半導體裝置之製造方法及記錄媒體 TWI741205B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??PCT/JP2017/031630 2017-09-01
WOPCT/JP2017/031630 2017-09-01
PCT/JP2017/031630 WO2019043919A1 (ja) 2017-09-01 2017-09-01 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
TW201921567A TW201921567A (zh) 2019-06-01
TWI741205B true TWI741205B (zh) 2021-10-01

Family

ID=65526354

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128995A TWI741205B (zh) 2017-09-01 2018-08-20 基板處理裝置、半導體裝置之製造方法及記錄媒體

Country Status (6)

Country Link
US (1) US11177143B2 (zh)
JP (1) JP6841920B2 (zh)
KR (1) KR102359048B1 (zh)
CN (1) CN111052336B (zh)
TW (1) TWI741205B (zh)
WO (1) WO2019043919A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111095517A (zh) * 2018-03-01 2020-05-01 株式会社国际电气 基板处理装置、半导体装置的制造方法和程序
JP7273499B2 (ja) * 2018-12-25 2023-05-15 株式会社ディスコ タッチパネル
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US11315816B2 (en) * 2020-06-10 2022-04-26 Kla Corporation Localized purge module for substrate handling
KR102559562B1 (ko) * 2021-03-11 2023-07-27 주식회사 한국제이텍트써모시스템 열처리 오븐의 배기 덕트 일체형 히터 유닛
CN115241105B (zh) * 2022-07-21 2023-05-16 深圳市欧盛创宇电子有限公司 一种计算机用集成电路生产加工设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788447A (en) * 1995-08-05 1998-08-04 Kokusai Electric Co., Ltd. Substrate processing apparatus
US20120093617A1 (en) * 2010-10-18 2012-04-19 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09104982A (ja) * 1995-08-05 1997-04-22 Kokusai Electric Co Ltd 基板処理装置
US5705044A (en) * 1995-08-07 1998-01-06 Akashic Memories Corporation Modular sputtering machine having batch processing and serial thin film sputtering
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
JP3818936B2 (ja) * 1999-07-22 2006-09-06 株式会社豊栄商会 処理装置
JP2002093715A (ja) * 2000-09-12 2002-03-29 Hitachi Kokusai Electric Inc 半導体製造装置
JP4493897B2 (ja) * 2001-06-01 2010-06-30 株式会社半導体エネルギー研究所 熱処理装置及び熱処理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
JP4793662B2 (ja) * 2008-03-28 2011-10-12 独立行政法人産業技術総合研究所 マイクロ波プラズマ処理装置
JP6040757B2 (ja) * 2012-10-15 2016-12-07 東京エレクトロン株式会社 搬送機構の位置決め方法、被処理体の位置ずれ量算出方法及び搬送機構のティーチングデータの修正方法
JP2014183247A (ja) * 2013-03-21 2014-09-29 Dainippon Screen Mfg Co Ltd 基板処理装置
JP6188145B2 (ja) * 2013-09-27 2017-08-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2015076458A (ja) * 2013-10-08 2015-04-20 株式会社日立ハイテクノロジーズ 真空処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788447A (en) * 1995-08-05 1998-08-04 Kokusai Electric Co., Ltd. Substrate processing apparatus
US20120093617A1 (en) * 2010-10-18 2012-04-19 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method

Also Published As

Publication number Publication date
US11177143B2 (en) 2021-11-16
JPWO2019043919A1 (ja) 2020-07-09
WO2019043919A1 (ja) 2019-03-07
US20200194287A1 (en) 2020-06-18
CN111052336B (zh) 2024-03-01
TW201921567A (zh) 2019-06-01
CN111052336A (zh) 2020-04-21
KR20200026306A (ko) 2020-03-10
KR102359048B1 (ko) 2022-02-08
JP6841920B2 (ja) 2021-03-10

Similar Documents

Publication Publication Date Title
TWI741205B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
KR102311459B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
JP7011033B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
KR102224544B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US20190182915A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP6944993B2 (ja) 発熱体、基板処理装置および半導体装置の製造方法
US20230189407A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP6823709B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202025255A (zh) 基板處理裝置、半導體裝置的製造方法及記錄媒體
JP7079317B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6949080B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
CN116805587A (zh) 基板处理装置、半导体装置的制造方法以及记录介质