CN107871653B - 基板处理装置以及半导体器件的制造方法 - Google Patents

基板处理装置以及半导体器件的制造方法 Download PDF

Info

Publication number
CN107871653B
CN107871653B CN201710116659.7A CN201710116659A CN107871653B CN 107871653 B CN107871653 B CN 107871653B CN 201710116659 A CN201710116659 A CN 201710116659A CN 107871653 B CN107871653 B CN 107871653B
Authority
CN
China
Prior art keywords
substrate
chamber
processing
inert gas
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710116659.7A
Other languages
English (en)
Other versions
CN107871653A (zh
Inventor
吉野晃生
保井毅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN107871653A publication Critical patent/CN107871653A/zh
Application granted granted Critical
Publication of CN107871653B publication Critical patent/CN107871653B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供基板处理装置及半导体器件的制造方法,其目的为提高每个基板的处理均匀性。基板处理装置具有:处理基板的多个处理室;分别设于多个处理室且将基板加热至规定温度的加热部;与多个处理室连接的真空搬运室;设于真空搬运室且能够搬运多个基板的搬运机械臂;与真空搬运室连接的预真空锁室;设于预真空锁室内且支承在处理室处理后的基板的支承部;向预真空锁室供给非活性气体的非活性气体供给部;记录有冷却配方的存储装置;及控制部,在处理室中将基板加热处理至规定温度后使基板从处理室向预真空锁室搬运,并控制非活性气体供给部和存储装置,以从存储装置读出与基板温度对应的冷却配方并基于冷却配方向基板供给非活性气体来冷却基板。

Description

基板处理装置以及半导体器件的制造方法
技术领域
本发明涉及基板处理装置、半导体器件的制造方法以及记录介质。
背景技术
作为半导体器件的制造工序的一道工序,存在利用多个装置进行在基板上形成含有硅(Si)等规定元素的氧化膜的处理的工序(例如参照专利文献1)。
专利文献1:日本特许第5947435号公报
要求提高每个基板的处理均匀性。
发明内容
因此,本发明提供一种能够提高每个基板的处理均匀性的技术。
根据一个方式,提供如下技术,基板处理装置具有:多个处理室,其对基板进行处理;加热部,其分别设置于多个处理室,将基板加热至规定温度;真空搬运室,其与多个处理室连接;搬运机械臂,其设置于真空搬运室,能够对多个基板进行搬运;预真空锁室,其与真空搬运室连接;支承部,其设置于预真空锁室内,对在处理室处理后的基板进行支承;非活性气体供给部,其向预真空锁室供给非活性气体;存储装置,其记录有冷却配方;以及控制部,在处理室中将基板加热处理至规定温度之后,使基板从处理室向预真空锁室搬运,以使得从存储装置读出与基板的温度对应的冷却配方并基于冷却配方向基板供给非活性气体而对基板进行冷却的方式对非活性气体供给部和存储装置进行控制。
发明效果
根据本发明的技术,能够提高每个基板的处理均匀性。
附图说明
图1是一个实施方式的基板处理系统的横截面的概要图。
图2是一个实施方式的基板处理系统的纵截面的概要图。
图3是一个实施方式的L/L室的剖视图的概要图。
图4是一个实施方式的工艺模块的气体供给系统和气体排放系统的概要图。
图5是一个实施方式的基板处理装置的概要结构图。
图6是一个实施方式的控制器的概要结构图。
图7是一个实施方式的L/L时序(sequence)的判断工序例。
图8是一个实施方式的基板的交替(swap)搬运例。
图9是一个实施方式的不进行基板的交替搬运的例子。
图10是一个实施方式的针对基板温度的冷却工序的表的例子。
图11是一个实施方式的针对基板温度的冷却工序的变形例。
其中,附图标记说明如下:
100 处理装置
200 晶片(基板)
201 处理室
202 处理容器
212 基板载置台
213 加热器
221 第1排气口
234 喷洒头
244 第1电极
260 控制器
具体实施方式
以下对本发明的实施方式进行说明。
<一个实施方式>
(1)基板处理系统的结构
利用图1、图2、图4对一个实施方式的基板处理系统的概要结构进行说明。图1是表示本实施方式的基板处理系统的结构例的横向剖视图。图2是表示本实施方式的基板处理系统的结构例的图1中的α-α’处的纵向剖视图。图4是图1中的β-β’处的纵向剖视图,且是对向工艺模块供给气体的气体供给系统和排气系统进行说明的说明图。
在图1及图2中,应用了本发明的基板处理系统1000对晶片200进行处理,该基板处理系统1000构成为主要包括IO载台1100、大气搬运室1200、预真空锁(L/L)室1300、真空搬运室(转移模块:TM)1400、工艺模块(PM)110。接下来对各结构进行具体说明。在图1的说明中,就前后左右而言,将X1方向设为右,将X2方向设为左,将Y1方向设为前,将Y2方向设为后。
(大气搬运室-IO载台)
在基板处理系统1000的近前侧设置有IO载台(装载端口)1100。在IO载台1100上搭载有多个盒(pod)1001。盒1001被用作对硅(Si)基板等晶片200进行搬运的搬运器,在盒1001内分别以水平姿势对多个未处理的基板(晶片)200或处理完毕的晶片200进行储存。
在盒1001设置有盖1120,该盖1120由盒开启器(Pod Opener:PO)1210进行开闭。PO1210对载置于IO载台1100的盒1001的盖1120进行开闭,通过使基板进出口打开或关闭而能够使晶片200进出盒1001。利用未图示的工序内搬运装置(RGV)相对于IO载台1100供给及排出盒1001。
IO载台1100与大气搬运室1200相邻。大气搬运室1200在与IO载台1100不同的面连结有后述的预真空锁室1300。
在大气搬运室1200内设置有作为对晶片200进行移载的第1搬运机械臂的大气搬运机械臂1220。如图2所示,通过设置于大气搬运室1200的升降机1230使大气搬运机械臂1220升降,并且通过线性致动器1240使大气搬运机械臂1220在左右方向上往复移动。
如图2所示,在大气搬运室1200的上部设置有供给清洁空气的清洁单元1250。另外,如图1所示,在大气搬运室1200的左侧设置有使得形成于晶片200的缺口或定向平面对位的装置(以下称为预对准器)1260。
如图1及图2所示,在大气搬运室1200的框体1270的前侧设置有用于将晶片200相对于大气搬运室1200搬入搬出的基板搬入搬出口1280、PO1210。在隔着基板搬入搬出口1280与PO1210的相反侧、即框体1270的外侧设置有IO载台1100。
在大气搬运室1200的框体1270的后侧设置有用于将晶片200相对于预真空锁室1300搬入搬出的基板搬入搬出口1290。利用闸阀1330使基板搬入搬出口1290打开、关闭,由此能够进行晶片200的搬入搬出。
(预真空锁(L/L)室)
接下来,利用图1、图2、图3对L/L室1300进行说明。图3的下侧的图是上侧的图的γ-γ′处的剖视图。
预真空锁室1300与大气搬运室1200相邻。如后所述,在构成L/L室1300的框体1310所具有的面中的与大气搬运室1200不同的面配置有TM1400。框体1310内的压力根据大气搬运室1200的压力和TM1400的压力而变动,因此L/L室1300构成为能够耐受负压的构造。
在框体1310中的与TM1400相邻的那侧设置有基板搬入搬出口1340。利用闸阀(GV)1350使基板搬入搬出口1340打开、关闭,由此能够使晶片200进出。
而且,在L/L室1300内设置有供晶片200载置的支承部1311a、1311b、1311c、1311d。此外,构成为:支承部1311a、1311b为第1支承部,对未处理的晶片200进行支承,支承部1311c、1311d为第2支承部,对处理完毕的晶片200进行支承。
另外,设置有:非活性气体供给部,其将作为冷却气体的非活性气体向L/L室1300内供给;以及排气部601、602,其对L/L室1300内的环境气体进行排放。非活性气体供给部具有气体供给管501a、502a、阀501b、502b以及MFC501c、502c,并能够对向L/L室1300内供给的冷却气体的流量进行调整。
另外,在第2支承部1311c、1311d的下侧分别设置有与晶片200相对的冷却部801a、801b。冷却部801a、801b的与晶片200相对的面形成为至少比晶片200的直径大。另外,在冷却部801a、801b构成有制冷剂流路802a、802b,且构成为从冷却器803供给制冷剂。此处,制冷剂例如采用水(H2O)、全氟聚醚(PFPE)等。
(真空搬运室)
基板处理系统1000具备成为在负压下对晶片200进行搬运的搬运空间的、作为搬运室的TM1400。构成TM1400的框体1410在俯视时形成为五边形,在五边形的各条边连结有L/L室1300以及对晶片200进行处理的工艺模块(PM)110a~110d。在TM1400的大致中央部,以法兰盘1430作为基部地设置有在负压下对晶片200进行移载(搬运)的第2搬运机械臂的真空搬运机械臂1700。此外,此处示出了TM1400为五边形的例子,但也可以是四边形、六边形等多变形。
在框体1410的侧壁中的、与L/L室1300相邻的那侧设置有基板搬入搬出口1420。利用GV1350使基板搬入搬出口1420打开、关闭,从而能够使晶片200进出。
如图2所示,能够通过升降机1450以及法兰盘1430维持TM1400的气密性、且使设置于TM1400内的真空搬运机械臂1700升降。后文中对真空搬运机械臂1700的详细结构进行叙述。升降机1450构成为能够使真空搬运机械臂1700所具有的两个臂1800和1900分别独立地升降。另外,两个臂1800和1900分别设置有镊钳1801、1802、1901、1902,且构成为能够利用一个臂而同时对两个晶片200进行搬运。
在框体1410的顶部,设置有用于向框体1410内供给非活性气体的非活性气体供给孔1460。在非活性气体供给孔1460设置有非活性气体供给管1510。在非活性气体供给管1510从上游按顺序设置有非活性气体源1520、质量流量控制器(MFC)1530、阀1540,从而对向框体1410内供给的非活性气体的供给量进行控制。
主要由非活性气体供给管1510、MFC1530、阀1540构成TM1400的非活性气体供给部1500。此外,非活性气体供给部1500中可以包括非活性气体源1520、气体供给孔1460。
在框体1410的底壁设置有用于对框体1410的环境气体进行排放的排气孔1470。在排气孔1470设置有排气管1610。在排气管1610从上游按顺序设置有作为压力控制器的APC(Auto Pressure Controller:自动压力控制器)1620、泵1630。
主要由排气管1610、APC1620构成TM1400的气体排放部1600。此外,气体排放部中可以包括泵1630、排气孔1470。
通过非活性气体供给部1500、气体排放部1600的协作而对TM1400的环境气体进行控制。例如对框体1410内的压力进行控制。
如图1所示,在框体1410的五个侧壁中的、未设置有预真空锁室1300的那侧连结有对晶片200进行期望的处理的PM110a、110b、110c、110d。
在PM110a、110b、110c、110d分别设置有作为基板处理装置的一种结构的腔室100。具体而言,PM110a设置有腔室100a、100b。在PM110b设置有腔室100c、100d。在PM110c设置有腔室100e、100f。在PM110d设置有腔室100g、100h。
在框体1410的侧壁中的、与各腔室100相面对的壁上设置有基板搬入搬出口1480。例如,如图2所示,在与腔室100a相面对的壁上设置有基板出入口1480a。
如图1所示,闸阀(GV)1490针对每个腔室而设置。具体而言,在腔室100a与TM1400之间设置有闸阀1490a,在TM1400与腔室100b之间设置有GV1490b。在TM1400与腔室100c之间设置有GV1490c,在TM1400与腔室100d之间设置有GV1490d。在TM1400与腔室100e之间设置有GV1490e,在TM1400与腔室100f之间设置有GV1490f。在TM1400与腔室100g之间设置有GV1490g,在TM1400与腔室100h之间设置有GV1490h。
利用各GV1490使基板搬入搬出口1480打开、关闭,由此能够实现经由基板搬入搬出口1480使晶片200进出。
另外,可以在TM1400内、且在各GV的前方设置对晶片200的温度进行测定的温度传感器701a、701b、701c、701d、701e、701f、701g、701h、701i、701j。温度传感器例如为辐射温度计。通过设置温度传感器而能够对搬运中的晶片200的温度进行测定。
(工艺模块:PM)
接下来,以图1、图2、图4为例对各PM110中的PM110a进行说明。图4是对PM110a、与PM110a连接的气体供给部、以及与PM110a连接的气体排放部的关联进行说明的说明图。
此处虽然以PM110a为例,但其他PM110b、PM110c、PM110d也为同样的构造,因而,此处将说明省略。
如图4所示,在PM110a设置有对晶片200进行处理的基板处理装置的一种结构的腔室100a和腔室100b。在腔室100a与腔室100b之间设置有隔壁2040a,从而使得各腔室内的环境气体不会混合。
如图2所示,在腔室100e的与TM1400相邻的壁上设置有基板搬入搬出口2060e,同样地,在腔室100a的与TM1400相邻的壁上设置有基板搬入搬出口2060a。
在各腔室100上设置有对晶片200进行支承的基板支承部210。
在PM110a上连接有分别向腔室100a和腔室100b供给处理气体的气体供给部。气体供给部由第1气体供给部(处理气体供给部)、第2气体供给部(反应气体供给部)、第3气体供给部(吹扫气体供给部)等构成。后文中对各气体供给部的结构进行叙述。
另外,在PM110a设置有分别对腔室100a和腔室100b进行排气的气体排放部。如图4所示,构成为一个气体排放部对多个腔室进行排气。
像这样,构成为设置于PM的多个腔室共享一个气体供给部和一个气体排放部。
另外,有时PM100a、100b、100c、100d分别进行不同的温度带的处理。例如,有时利用PM100a、100b进行低温处理(第1温度下的处理)、且利用PM100c、100d进行高温处理(第2温度下的处理(第2温度>第1温度))。在这种情况下,产生以下课题,有时每个晶片200的热量历史记录发生变化,使得每个基板的处理均匀性降低。
(a)存在如下课题:从PM100a、100b搬出的晶片200的温度、与从PM100c、100d搬出的温度不同,从而利用L/L室1300对晶片200进行冷却的时间产生偏差,搬运时序产生延迟。
(b)有时从PM至L/L室1300为止的处理完毕的晶片200的搬运时间不同。由此,存在如下课题:输入至L/L室1300的晶片200的温度不同,从而在L/L室1300进行冷却的时间产生偏差,搬运时序产生延迟。例如,在将通过后述的交换搬运而取出的处理完毕的晶片200向L/L室1300搬运的情况下、和将通过取出搬运而取出的处理完毕的晶片200向L/L室1300搬运的情况下,搬入至L/L室1300的晶片200的温度不同。在交换搬运中,自取出处理完毕的晶片200起直至交换搬运完毕为止,存在在TM1400内待机的时间,因此,比取出搬运时的晶片200的温度低。
(c)在利用真空搬运机械臂1700的两个臂1800、1900的某一个臂将一个晶片200搬出之后,当将两个晶片200搬出时,有时两个晶片200产生温度差。例如,在臂1800中,在利用镊钳1801将晶片200搬出而未利用镊钳1802将晶片200搬出的情况下,镊钳1801、1802各自的温度产生差异。由此,存在如下课题:当接下来对两枚晶片200进行搬运时,晶片200受到各镊钳的温度的影响,从而两个晶片200各自的温度不同。
接下来,对作为基板处理装置的腔室各自的结构进行说明。
(2)基板处理装置的结构
腔室100例如为绝缘膜形成单元,如图5所示,其构成为单张式基板处理装置。此处,对腔室100a进行说明。
如图5所示,腔室100a具备处理容器202。处理容器202例如构成为水平截面为圆形且扁平的密闭容器。另外,处理容器202例如由铝(Al)、不锈钢(SUS)等金属材料或者石英构成。在处理容器202内形成有对作为基板的硅晶片等晶片200进行处理的处理空间(处理室)201、以及移载空间(移载室)203。处理容器202构成为包括上部容器202a和下部容器202b。在上部容器202a与下部容器202b之间设置有分隔部204。将由上部处理容器202a包围、且比位于分隔部204上方的空间称为处理室201。另外,将由下部容器202b包围、且处于闸阀1490附近的空间称为移载室203。
在下部容器202b的侧面设置有与闸阀1490相邻的基板搬入搬出口1480,晶片200经由基板搬入搬出口1480而在TM1400与移载室203之间移动。在下部容器202b的底部设置有多个抬升销207。并且,下部容器202b接地。
在处理室201内设置有对晶片200进行支承的基板支承部210。基板支承部210主要具有:载置面211,其供晶片200载置;载置台212,其在表面具有载置面211;以及作为加热部的加热器213。在基板载置台212,供抬升销207贯穿的贯穿孔214分别设置于与抬升销207对应的位置。另外,在基板载置台212可以设置对晶片200、处理室201施加偏压的偏压电极256。此处,在加热器213连接有温度测定部400,且构成为能够将加热器213的温度信息向控制器260发送。另外,偏压电极256与偏压调整部257连接,且构成为能够利用偏压调整部257对偏压进行调整。构成为能够与控制器260对偏压调整部257的设定信息进行发送接收。
基板载置台212由轴217支承。轴217将处理容器202的底部贯穿,并且在处理容器202的外部与升降部218连接。使升降部218工作而使轴217以及支承台212升降,由此能够使载置于基板载置面211上的晶片200升降。此外,轴217下端部的周围由波纹管219覆盖,从而使处理室201内保持气密状态。
在搬运晶片200时,基板载置台212向晶片移载位置移动,并在对晶片200进行第1处理时向图5中的实线所示的第1处理位置(晶片处理位置)移动。另外,在第2处理时向图5中的虚线所示的第2处理位置移动。此外,晶片移载位置是使得抬升销207的上端从基板载置面211的上表面突出的位置。
具体而言,当使基板载置台212下降至晶片移载位置时,抬升销207的上端部从基板载置面211的上表面突出,抬升销207从下方对晶片200进行支承。另外,当使基板载置台212上升至晶片处理位置时,抬升销207从基板载置面211的上表面没入,基板载置面211从下方对晶片200进行支承。此外,抬升销207与晶片200直接接触,因此例如优选由石英、矾土等材质形成。
(排气系统)
在处理室201(上部容器202a)的内壁侧面,设置有作为对处理室201的环境气体进行排放的第1排气部的第1排气口221。在第1排气口221连接有排气管224a,在排气管224a按顺序串联连接有将处理室201内控制为规定的压力的APC等压力调整器227a和真空泵223。第一排气系统(排气管线)主要由第1排气口221、排气管224a、压力调整器227a构成。此外,也可以将真空泵223设为第一排气系统的结构。另外,在移载室203的内壁侧面设置有对移载室203的环境气体进行排放的第2排气口1481。另外,在第2排气口1481设置有排气管1482。在排气管1482设置有压力调整器228,且构成为能够进行排气而使得移载室203内的压力达到规定的压力。另外,还能够经由移载室203而对处理室201内的环境气体进行排放。另外,压力调整器227a构成为能够与控制器260对压力信息、阀开度的信息进行发送接收。另外,真空泵223构成为能够与控制器260对泵的ON/OFF(开/关)信息、负荷信息等进行发送接收。
(气体导入口)
在设置于处理室201的上部的喷洒头234的上表面(顶壁),设置有用于向处理室201内供给各种气体的气体导入口241。后文中对与作为气体供给部的气体导入口241连接的各气体供给单元的结构进行叙述。
(气体分散单元)
作为气体分散单元的喷洒头234具有缓冲室232、作为第1活化部的第1电极244。在第1电极244设置有多个将气体向晶片200分散供给的孔234a。喷洒头234设置于气体导入口241与处理室201之间。从气体导入口241导入的气体向喷洒头234的缓冲室232(分散部)供给、且经由孔234a而向处理室201供给。
此外,第1电极244由导电性的金属构成,且构成为用于激发气体的活化部(激发部)的一部分。构成为能够向第1电极244供给电磁波(高频电、微波)。此外,当由导电性部件构成盖231时,形成为如下结构:在盖231与第1电极244之间设置绝缘块233而使盖231与第1电极部244之间绝缘。
此外,可以在缓冲室232设置气体引导件235。气体引导件235是以气体导入孔241为中心、且越朝向晶片200的径向则直径越大的圆锥形状。气体引导件235的下端的水平方向上的直径形成为比供孔234a设置的区域的端部进一步延伸至外周。通过设置气体引导件235,能够向多个孔234a分别均匀地供给气体,从而能够使向晶片200的面内供给的活性物质的量实现均匀化。
(活化部(等离子体生成部))
匹配器251和高频电源部252与作为活化部的电极244连接而能够供给电磁波(高频电、微波)。由此,能够使供给至处理室201内的气体实现活化。另外,电极244构成为能够生成电容耦合型的等离子体。具体而言,电极244形成为导电性的板状,且构成为支承于上部容器202a。活化部构成为至少由电极部244、匹配器251、高频电源部252构成。此外,可以构成为活化部中包括阻抗计254。此外,可以在第1电极244与高频电源252之间设置阻抗计254。通过设置阻抗计254,能够基于测定所得的阻抗而对匹配器251、高频电源252进行反馈控制。另外,高频电源252构成为能够与控制器260针对电力的设定信息进行发送接收,匹配器251构成为能够与控制器260针对阻抗匹配信息(行波数据、反射波数据)进行发送接收,阻抗计254构成为能够与控制器260针对阻抗信息进行发送接收。
(气体供给系统)
在气体导入口241连接有气体供给管150a(150x)。从气体供给管150x供给后述的第1气体、第2气体、吹扫气体。此处,x为与各腔室对应的a、b、c、d、e、f、g、h中的任一个。以下,对与腔室100a的气体导入口241连接的气体供给系统进行说明,对于其他腔室则省略说明。
图4中示出了第1气体供给部、第2气体供给部、吹扫气体供给部等气体供给系统的概要结构图。
如图4所示,在气体供给管150a连接有气体供给管汇合部140a。在气体供给管汇合部140a连接有第1气体(处理气体)供给管113a、吹扫气体供给管133a、第2气体(处理气体)供给管123a。
(第1气体供给部)
在第1气体供给部设置有第1气体供给管113a、MFC115a、阀116a。此外,可以构成为第1气体供给部中包括与第1气体供给管113a连接的第1气体供给源113。另外,在处理气体的原料为液体、固体的情况下,可以设置气化器180。
(第2气体供给部)
在第2气体供给部设置有第2气体供给管123a、MFC125a、阀126a。此外,可以构成为第2气体供给部中包括与第2气体供给管123a连接的第2气体供给源123。
此外,可以构成为设置远程等离子体单元(RPU)124而使第2气体活化。
(吹扫气体供给部)
在吹扫气体供给部设置有吹扫气体供给管133a、MFC135a、阀136a。此外,可以构成为吹扫气体供给部中包括与吹扫气体供给管133a连接的吹扫气体供给源133。
(控制部)
如图1~图5所示,基板处理系统1000、腔室100具有基板处理系统1000、以及对基板处理装置100的各部分的动作进行控制的控制器260。
图6中示出了控制器260的概况。作为控制部(控制单元)的控制器260构成为具备CPU(Central Processing Unit:中央处理器)260a、RAM(Random Access Memory:随机存储器)260b、存储装置260c、I/O端口260d的计算机。RAM260b、存储装置260c、I/O端口260d构成为能够经由内部总线260e而与CPU260a进行数据交换。例如构成为触摸面板等的输入输出装置261、外部存储装置262、发送接收部285等构成为能够与控制器260连接。
存储装置260c例如由闪存、HDD(Hard Disk Drive:硬盘驱动)等构成。在存储装置260c内以能够读出的方式储存有对基板处理装置的动作进行控制的控制程序、记载有后述的基板处理的次序及条件等的工艺配方、在直至设定用于对晶片200的处理的工艺配方为止的过程中所产生的运算数据、处理数据等。此外,工艺配方组合为由控制器260来执行后述的基板处理工序中的各次序、且能够获得规定的结果,该工艺配方作为程序而发挥功能。以下,还将该工艺配方、控制程序等简单地统称为程序。此外,当在本说明书中使用程序之类的词语时,有时仅包括工艺配方单体、有时仅包括控制程序单体、或者有时包括其双方。另外,RAM260b构成为暂时对由CPU260a读出的程序、运算数据、处理数据等数据进行保有的存储器区域(工作区域)。
I/O端口260d与闸阀1290、1330、1350、1490、升降部218、加热器213、压力调整器227、1620、真空泵223(223a、223b、223c、223d)、1630、匹配器251、高频电源部252、MFC115(115a、115b、115c、115d)、125(125a、125b、125c、125d)、135(135a、135b、135c、135d)、1530、501c、502c、阀116(116a、116b、116c、116d)、126(126a、126b、126c、126d)、136(136a、136b、136c、136d)、228、1540、502a、502b、(RPU124、气化器180、)偏压控制部257、真空搬运机械臂1700、大气搬运机械臂1220、冷却器803等连接。另外,也可以与阻抗计254等连接。
作为运算部的CPU260a构成为:读出并执行来自存储装置260c的控制程序,并且根据从输入输出装置261的操作命令的输入等而从存储装置260c读出工艺配方。另外,构成为:对从发送接收部285输入的设定值、和存储于存储装置260c的工艺配方、控制数据进行比较、运算且能够计算出运算数据。另外,构成为:能够根据运算数据而执行对应的处理数据(工艺配方)的确定处理等。而且,CPU260a构成为:按照读出的工艺配方的内容而对闸阀1490的开闭动作、升降部218的升降动作、针对加热器213的电力供给动作、压力调整器227、228的压力调整动作、真空泵223的接通断开控制、MFC115、125、135、145、155、501c、502c中的气体流量控制动作、RPU124、144、154的气体的活化动作、阀116、126、136、237、146、156、502a、502b对气体的流通切断控制、匹配器251的电力的阻抗匹配动作、高频电源部252的电力控制、偏压控制部257的控制动作、基于阻抗计254测定所得的测定数据的匹配器251的阻抗匹配动作、高频电源252的电力控制动作等进行控制。当进行各结构的控制时,CPU260a内的发送接收部通过对遵照工艺配方的内容的控制信息进行发送/接收而进行控制。
此外,控制器260并不局限于构成为专用的计算机的情况,也可以构成为通用的计算机。例如,准备对上述程序进行储存的外部存储装置(例如,磁带、软盘或硬盘等磁盘、CD或DVD等光盘、MO等光磁盘、USB存储器或存储卡等半导体存储器)262,并利用这样的外部存储装置262将程序安装于通用的计算机等,由此能够构成本实施方式的控制器260。此外,用于向计算机供给程序的方法并不局限于经由外部存储装置262供给的情况。例如,可以利用发送接收部285、网络263(互联网、专用线路)等通信单元而不经由外部存储装置262地供给程序。此外,存储装置260c、外部存储装置262构成为计算机可读取的记录介质。以下,将这些介质简单地统称为记录介质。此外,当在本说明书中使用记录介质之类的词语时,有时仅包括存储装置260c单体、有时仅包括外部存储装置262单体、或者有时包括其双方。
(2)半导体器件(半导体元件)的制造工序
接下来,作为半导体器件(半导体元件)的制造工序的一道工序,以在基板上形成绝缘膜的工序为例,参照图7、图8、图9对基板处理工序的流程进行说明。此外,此处,作为绝缘膜,例如形成作为氮化膜的氮化硅(SiN)膜。另外,该制造工序的一道工序在上述的基板处理系统1000、腔室100中进行。此外,在以下说明中,各部分的动作由控制器260控制。
此外,当在本说明书中使用“基板”之类的词语时,也与使用“晶片”之类的词语的情况相同,在该情况下,只要在上述说明中考虑将“晶片”替换为“基板”即可。
以下对基板处理工序进行说明。
(处理装置设定工序S300)
在基板处理时,首先,在控制器260中进行在各腔室100中所执行的工艺配方的设定。例如,将记录于存储装置260c的数据读入至RAM260b,经由I/O端口而对各部分进行设定值的设定。此外,可以通过从经由网络263连接的上位装置500发送工艺配方而进行设定。在各部分的动作的设定之后,执行基板处理工序S301。
(基板处理工序S301)
在基板处理工序S301中,根据工艺配方,在将晶片200加热至规定的温度的状态下,对第一气体供给部进行控制而将第一气体向处理室201供给,并且对排气系统进行控制而针对处理室201进行排气,由此对晶片200进行处理。此外,此处,可以对第二气体供给部进行控制,使第二气体和第一气体同时存在于处理空间而进行CVD处理、或者交替地供给第一气体和第二气体而进行循环处理。另外,在使第二气体处于等离子体状态而进行处理的情况下,可以通过RPU124的使用、向电极244供给高频电而在处理室201内生成等离子体。
作为膜处理方法的具体例的循环处理可以考虑接下来的方法。例如有时作为第一气体而使用二氯甲硅烷(SiH2Cl2,dichlorosilane:DCS)气体,作为第二气体而使用氨气(NH3)。在第一工序中将DCS气体向晶片200供给,在第二工序中将NH3气体向晶片200供给。在第一工序与第二工序之间,作为吹扫工序而供给N2气体、且对处理室201的环境气体进行排放。通过进行执行多次该第一工序、吹扫工序、第二工序的循环处理而在晶片200上形成氮化硅(SiN)膜。
(基板输出工序S302)
在对晶片200实施规定的处理之后,将晶片200从处理室201取出。利用真空搬运机械臂1700的臂1900而将晶片从处理室201取出。在取出时,在将未处理的晶片200保持于真空搬运机械臂1700的臂1800的情况下,进行图8所示的作为第1搬运的交替搬运(交换搬运),在未处理的晶片200未保持于臂1800的情况下,仅进行图9所示的取出搬运。
此处,利用图8对交替搬运进行说明。首先,使基板支承部210位于图5中的虚线所示的搬运位置,由此形成为晶片200由抬升销207保持的状态。另外,将GV1490打开而使移载室203和TM1400连通。在移载室203和TM1400连通之后,将臂1900插入于移载室203,使臂1900对处理完毕的晶片200进行保持(a)。在保持之后,使臂1900向TM1400移动(b)。在使臂1900移动至TM1400之后,利用升降机1450使臂1800下降,将臂1800插入于移载室203,将未处理的晶片200载置于抬升销207上。以该方式进行交替搬运。在这样的交替搬运中,在对未处理的晶片200进行搬运的期间,有时使处理完毕的晶片200在臂1900上待机。在该情况下,晶片200的温度有时在待机中下降。保持于臂1900上的晶片200的热量因向臂1900传导、或者向保持于臂1800上的未处理的晶片200辐射等而降低。
接下来,对不进行交替搬运而仅进行作为第2搬运的取出搬运的情况进行说明。在取出搬运的情况下,如图9所示,在(b)的步骤完毕之后,真空搬运机械臂开始向L/L室1300进行搬运,因此不会产生待机时间。因此,处理完毕的晶片200的温度降低,但比第1搬运时降低的幅度小,维持处理完毕的晶片200的温度不变地向L/L室1300进行搬运。
像这样,向L/L室1300搬入的处理完毕的晶片200的温度根据搬运方式而变化。通过发明人等所进行的研究可知,大约变化了100℃~200℃左右。
(温度数据获取工序S303)
在将处理完毕的晶片200从移载室203向L/L室1300搬运的期间,执行温度数据获取工序S303。对于温度数据的获取例如存在以下方法。
(A)将与在基板处理工序S301中设定的晶片200的温度对应的晶片200的温度数据从存储装置260c读出。
(B)利用设置于TM1400的、温度传感器701a、701b、701c、701d、701e、701f、701g、701h、701i、701j的至少一个以上的温度传感器对晶片200的温度进行测定,由此获取温度数据。优选利用在L/L室1300的前方设置的温度传感器701i和701j的某一方或者双方进行测定。通过利用温度传感器701i(701j),能够对即将向L/L室1300搬运之前的晶片200的温度进行测定,通过后述的判断工序S304能够对适合于晶片200的冷却配方进行判断。
(判断工序S304)
在判断工序S304中,基于所获取的温度数据而进行在最佳的冷却工序中是否需要针对处理完毕的晶片200的温度的变更的判断。在判断为是(需要变更)的情况下,在冷却配方变更工序S305之后执行冷却工序S306,在判断为否(无需变更)的情况下,不执行冷却配方变更工序S305而执行冷却工序S306。例如有时利用PM100a、100b进行第1温度下的处理、且利用PM100c、100d进行第2温度下的处理。此处,设为第2温度>第1温度。当在利用PM100a处理的晶片200的冷却之后对利用PM100b处理的晶片200进行冷却时判断为否,当在利用PM100b处理的晶片200的冷却之后对利用PM100c处理的晶片200进行冷却时判断为是。
(冷却配方变更工序S305)
在冷却配方的变更工序S305中,如图10所示,从储存于存储装置260c的、冷却配方表读出与晶片200的温度对应的冷却配方A1~A5,对向L/L室1300内供给的非活性气体流量、向制冷剂流路802a、802b供给的制冷剂的流量进行变更。此处,图10是与晶片200的温度对应的冷却配方的对应表的例子。在图10的例子中,当晶片温度为室温(RT)时,不选择冷却配方,在200℃以下的情况下将冷却配方1从A2读出。在300℃以下的情况下,将冷却配方2从A3读出。在400℃以下的情况下,将冷却配方3从A4读出。在500℃以下的情况下,将冷却配方4从A5读出。此外,可以构成为能够适当地对温度带进行变更。另外,在不存在与冷却配方表对应的配方数据的情况下,可以构成为从图11所示的设定数据的表中直接将设定数据读出,也可以构成为在规定的数据范围内对设定数据进行变更。
在读出冷却配方之后,将图11所示的、与各冷却配方对应的设定数据的表从存储装置260c读出并进行变更。此处,图11设定为与冷却配方对应地设定的各流量例如随着晶片200的温度升高而增大。此外,可以构成为各流量还根据有无交替搬运而变更。通过这样进行变更,能够缩短晶片200的冷却时间。具体而言,将冷却配方1读出,在存在交换搬运的情况下,读出非活性气体流量:50、冷却器流量1来对各部分进行设定。
(冷却工序S306)
在冷却工序S306中,以从存储装置260c读出的冷却配方为基础而将规定流量的非活性气体向L/L室1300供给,另外,从冷却器803向制冷剂流路802a、802b供给规定流量的制冷剂而对处理完毕的晶片200进行冷却。此外,只要在处理完毕的晶片200载置于第2支承部1311c、1311d时开始进行向制冷剂流路802a、802b的制冷剂的供给即可,可以在对晶片200进行载置之前供给、且预先对冷却部801a、801b进行冷却。通过预先进行冷却,即使对大量的处理完毕的晶片200进行冷却,也能够抑制冷却部801a、801b的温度上升。另外,优选地,可以构成为在对第n个在X温度下处理的晶片200进行冷却之后、且在第n+1个在Y温度下处理的晶片200进行搬运之前使向制冷剂流路802a、802b供给的制冷剂流量增加。此处,将n设为整数、且设为X温度<Y温度。通过以该方式对冷却部801a、801b进行冷却,能够缩短第n+1个晶片200的冷却时间。
以上对本发明的一个实施方式进行了具体说明,但本发明并不限定于上述实施方式,在不脱离其主旨的范围内能够进行各种变更。
以上对交替地供给第1气体和第2气体而成膜的方法进行了记述,但还能够应用于其他方法。例如第1气体和第2气体的供给时刻重叠之类的方法。
另外,以上对供给2种气体而进行处理的方法进行了记述,但也可以是利用1种气体的处理。
另外,以上对成膜处理进行了记述,但还能够应用于其他处理。例如存在利用等离子体的扩散处理、氧化处理、氮化处理、氮氧化处理、还原处理、氧化还原处理、蚀刻处理、加热处理等。例如在仅利用反应气体对在基板表面、基板形成的膜进行等离子体氧化处理、等离子体氮化处理时也能够应用本发明。另外,还能够应用于仅利用反应气体的等离子体退火处理。可以将这些处理作为第1处理,然后再进行上述的第2处理。
另外,以上对半导体器件的制造工序进行了记述,但实施方式的发明还能够应用于半导体器件的制造工序以外的工序。例如,存在液晶器件的制造工序、太阳能电池的制造工序、发光器件的制造工序、玻璃基板的处理工序、陶瓷基板的处理工序、导电性基板的处理工序等基板处理。
另外,以上示出了将含硅气体用作原料气体、且将含氮气体用作反应气体而形成氮化硅膜的例子,但还能够应用于利用其他气体的成膜。例如存在含氧膜、含氮膜、含碳膜、含硼膜、含金属膜以及含有上述元素中的多种的膜等。此外,作为上述这些膜,例如存在AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。
另外,以上示出了在一个处理室对一个基板进行处理的装置结构,但并不限于此,可以是使得多个基板在水平方向或者垂直方向上排列的装置。

Claims (6)

1.一种基板处理装置,其特征在于,
具有:
多个处理室,其对基板进行处理;
加热部,其分别设置于所述多个处理室,将所述基板加热至规定温度;
真空搬运室,其经由闸阀与所述多个处理室连接;
温度传感器,其在所述真空搬运室内、且在所述闸阀的前方对所述基板的温度进行测定;
搬运机械臂,其设置于所述真空搬运室,能够对多个所述基板进行搬运;
预真空锁室,其与所述真空搬运室连接;
支承部,其设置于所述预真空锁室内,对在所述处理室处理后的基板进行支承;
非活性气体供给部,其向所述预真空锁室供给非活性气体;
存储装置,其记录有与所述基板的温度对应的冷却配方;以及
控制部,其在所述处理室中将所述基板加热处理至规定温度之后,使所述基板从所述处理室搬运到所述预真空锁室,从所述存储装置读出与利用所述温度传感器测定到的温度对应的所述冷却配方,以使得基于所述冷却配方向所述基板供给所述非活性气体而对所述基板进行冷却的方式对所述非活性气体供给部进行控制。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述搬运机械臂具有两个臂,
所述控制部以在进行第1搬运和第2搬运的情况下使从所述存储装置读出的冷却配方的所述非活性气体的供给量不同来对所述基板进行冷却的方式,对所述搬运机械臂和所述非活性气体供给部进行控制,
所述第1搬运是在利用所述两个臂中的一个臂从所述处理室将处理完毕的基板搬出、且利用另一个臂将未处理的基板搬入之后,将搬出的所述基板搬运至所述预真空锁室,
所述第2搬运是在利用所述两个臂中的一个臂从所述处理室将处理完毕的基板搬出、且没有利用另一个臂将未处理的基板搬入而将所述处理完毕的基板搬运至所述预真空锁室。
3.根据权利要求2所述的基板处理装置,其特征在于,
所述控制部以使在进行所述第2搬运的情况下的所述非活性气体的供给量多于在进行所述第1搬运的情况下的所述非活性气体的供给量的方式对所述非活性气体供给部进行设定,并对所述搬运机械臂和所述非活性气体供给部进行控制。
4.根据权利要求1~3中任一项所述的基板处理装置,其特征在于,
具有冷却部,该冷却部设置于所述预真空锁室内的与所述基板相对的位置,向所述冷却部的内部供给有制冷剂,
所述控制部以使得基于所述冷却配方来供给所述制冷剂的方式对所述冷却部进行控制。
5.一种半导体器件的制造方法,其特征在于,
具有如下的工序:
在多个处理室各自中对多个基板进行加热处理的工序;
利用在经由闸阀与所述多个处理室连接的真空搬运室内设置的搬运机械臂将加热处理后的所述基板从所述处理室搬运至与所述真空搬运室连接的预真空锁室的工序;以及
从存储装置读出与在所述闸阀的前方由温度传感器测定到的所述基板的温度对应的冷却配方,并且在所述预真空锁室中,基于所述冷却配方向所述基板供给非活性气体而对所述基板进行冷却的工序。
6.一种记录介质,其特征在于,
记录有通过计算机使基板处理装置执行如下的步骤的程序:
在多个处理室各自中对多个基板进行加热处理的步骤;
利用在经由闸阀与所述多个处理室连接的真空搬运室内设置的搬运机械臂将加热处理后的所述基板从所述处理室搬运至与所述真空搬运室连接的预真空锁室的步骤;以及
从存储装置读出与在所述闸阀的前方由温度传感器测定到的所述基板的温度对应的冷却配方,并且在所述预真空锁室中,基于所述冷却配方向所述基板供给非活性气体而对所述基板进行冷却的步骤。
CN201710116659.7A 2016-09-28 2017-03-01 基板处理装置以及半导体器件的制造方法 Active CN107871653B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016189640A JP6270952B1 (ja) 2016-09-28 2016-09-28 基板処理装置、半導体装置の製造方法および記録媒体。
JP2016-189640 2016-09-28

Publications (2)

Publication Number Publication Date
CN107871653A CN107871653A (zh) 2018-04-03
CN107871653B true CN107871653B (zh) 2020-06-16

Family

ID=60935064

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710116659.7A Active CN107871653B (zh) 2016-09-28 2017-03-01 基板处理装置以及半导体器件的制造方法

Country Status (5)

Country Link
US (1) US9870964B1 (zh)
JP (1) JP6270952B1 (zh)
KR (1) KR101882773B1 (zh)
CN (1) CN107871653B (zh)
TW (1) TWI632632B (zh)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020017645A (ja) 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7175151B2 (ja) * 2018-09-28 2022-11-18 東京エレクトロン株式会社 搬送方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7154986B2 (ja) * 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7163764B2 (ja) * 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021044623A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11597999B2 (en) * 2020-02-24 2023-03-07 Sky Tech Inc. Method and device for decreasing generation of surface oxide of aluminum nitride
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR102515863B1 (ko) * 2020-03-24 2023-03-31 주식회사 히타치하이테크 진공 처리 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019739A (ja) * 2003-06-26 2005-01-20 Tokyo Electron Ltd 被処理体の搬送方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5947435A (ja) 1982-09-10 1984-03-17 株式会社クラレ 仮撚絡合加工糸の製造方法
JP2675011B2 (ja) 1987-08-12 1997-11-12 株式会社日立製作所 熱処理装置及び熱処理方法
JP3406069B2 (ja) 1994-06-30 2003-05-12 株式会社日立国際電気 半導体製造装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP2002033280A (ja) * 2000-07-13 2002-01-31 Ulvac Japan Ltd 真空成膜装置、仕込・取出室及び仕込・取出室内部の排気方法
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
JP2003105546A (ja) * 2001-10-02 2003-04-09 Matsushita Electric Ind Co Ltd 化学的気相成長装置及びそれを用いた化学的気相成長方法
JP2004193307A (ja) * 2002-12-11 2004-07-08 Matsushita Electric Ind Co Ltd 薄膜製造装置
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP4997141B2 (ja) * 2008-02-21 2012-08-08 株式会社アルバック 真空処理装置、基板の温度制御方法
KR20140034318A (ko) * 2009-09-28 2014-03-19 도쿄엘렉트론가부시키가이샤 피처리체의 냉각 방법, 냉각 장치 및 컴퓨터 판독 가능한 기억 매체
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5646419B2 (ja) * 2011-09-09 2014-12-24 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
JP5947435B1 (ja) 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019739A (ja) * 2003-06-26 2005-01-20 Tokyo Electron Ltd 被処理体の搬送方法

Also Published As

Publication number Publication date
TW201814804A (zh) 2018-04-16
TWI632632B (zh) 2018-08-11
JP6270952B1 (ja) 2018-01-31
KR101882773B1 (ko) 2018-07-27
KR20180035108A (ko) 2018-04-05
US9870964B1 (en) 2018-01-16
CN107871653A (zh) 2018-04-03
JP2018053298A (ja) 2018-04-05

Similar Documents

Publication Publication Date Title
CN107871653B (zh) 基板处理装置以及半导体器件的制造方法
US10914005B2 (en) Substrate processing apparatus having gas guide capable of suppressing gas diffusion
CN106486393B (zh) 衬底处理装置及半导体器件的制造方法
JP6830464B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体。
CN110429049B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
US11749550B2 (en) Method of manufacturing semiconductor device by setting process chamber maintenance enable state
CN111052336A (zh) 基板处理装置、半导体装置的制造方法及程序
US11289350B2 (en) Method of manufacturing semiconductor device
US20220170160A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR101916394B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US20240047233A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20181205

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

GR01 Patent grant
GR01 Patent grant