CN101681870A - 用于提高衬底内处理均匀性的动态温度背部气体控制 - Google Patents

用于提高衬底内处理均匀性的动态温度背部气体控制 Download PDF

Info

Publication number
CN101681870A
CN101681870A CN200880015806A CN200880015806A CN101681870A CN 101681870 A CN101681870 A CN 101681870A CN 200880015806 A CN200880015806 A CN 200880015806A CN 200880015806 A CN200880015806 A CN 200880015806A CN 101681870 A CN101681870 A CN 101681870A
Authority
CN
China
Prior art keywords
substrate
gas
described substrate
port
control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880015806A
Other languages
English (en)
Other versions
CN101681870B (zh
Inventor
拉达·桑达拉拉珍
陈立
麦里特·法克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/684,818 external-priority patent/US7674636B2/en
Priority claimed from US11/684,957 external-priority patent/US7576018B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101681870A publication Critical patent/CN101681870A/zh
Application granted granted Critical
Publication of CN101681870B publication Critical patent/CN101681870B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明控制处理过程中沿着衬底控制径向或非径向温度分布,来补偿非均匀的影响,其包括由系统或处理引起的多种非均匀性。通过沿着晶片支撑卡盘(衬底支撑台20、20a)上不同的区域以不同的方式流出背部气体来控制温度,优选动态的控制,以改变沿着晶片的热传导。对支撑台(20、20a)中的多个气体端口(26、26a)进行分组,并且通过不同的阀(32)分别控制流入或流出各组的气体,阀对应于控制器(35),控制器控制每个区域的气体压强以空间的并且优选动态的控制晶片温度,以补偿系统和处理的非均匀性。通过分别动态控制阀(32),通过在不同的气体端口(26、26a)处分别控制背部气体压强来改变晶片变形,以控制施加到衬底背部的局部力,阀改变流向气体端口(26、26a)和环绕气体端口(26、26a)的气体端口(26、26a)的气流。

Description

用于提高衬底内处理均匀性的动态温度背部气体控制
技术领域
本发明涉及控制沿着衬底的处理均匀性。本发明特别涉及在衬底处理过程中控制衬底的温度和变形,并调整衬底背部上的气体压强。
背景技术
在半导体制造中,形成于半导体衬底上的器件的复杂性继续以高速增加,而例如晶体管门的特征尺寸继续减小至远低于93纳米(nm)技术节点。结果,制造过程需要愈发精细的单元操作和处理整合方案,以及处理和硬件控制策略来保证器件沿着衬底的均匀制造。例如,在晶体管器件中栅电极结构的制造过程中,需要图案化系统和蚀刻系统来实现和保持栅极结构的临界尺寸(Critical Dimension,CD)既在高深宽比器件内垂直又在器件之间横向穿过衬底,所述图案化系统和蚀刻系统促进了形成于衬底上的多层材料膜中的栅极结构的形成。减小衬底上CD的变化,以及轮廓和侧壁角度(Side-Wall Angle,SWA)的变化,能够改变高性能器件(即速度、能量消耗等)的均匀产率。而且,例如在复杂形貌上沉积保形薄膜的过程中,需要沉积系统不仅在全部平坦表面,例如结构的平场和底部,而且也沿着高深宽比结构的侧壁沉积均匀厚度的薄膜。
在材料加工方法中,利用图案化和蚀刻系统来执行图案蚀刻,所述图案蚀刻包括把例如光致抗蚀剂的辐射敏感材料薄层涂覆到衬底的上表面,利用光刻法图案化所述辐射敏感材料薄层而在其中形成图案,和利用蚀刻处理把图案转印到下面的材料膜。例如,图案化辐射敏感材料通常包括用辐射敏感材料(例如光致抗蚀剂)涂覆衬底的上表面,然后利用例如光刻系统使辐射敏感材料曝光于电磁(Electro-Magnetic,EM)辐射的几何图案,然后利用显影溶剂去除辐射敏感材料的已辐照区(像用正光致抗蚀剂的情形下)或未辐照区(像用负光致抗蚀剂的情形下)。其后,利用蚀刻处理把在辐射敏感材料薄膜中形成的图案转印至下面的多个层,例如等离子干法蚀刻处理。
在等离子干法蚀刻过程中,利用等离子和在等离子参与下的化学反应,沿着衬底上图案化的细线或在衬底上图案化的通孔或触点中来去除或蚀刻材料。等离子蚀刻处理通常包括在处理室中定位具有上覆的图案化保护层的半导体衬底,所述保护层例如上述光致蚀刻剂层。一旦衬底被定位在处理室中,电离游离气体混合物以预定义的流速进入处理室中,同时调整真空泵以达到环境处理压力。之后,  当一小部分现有气体物质在交变电场存在下被电子电离时形成等离子体,所述交变电场是利用例如电子回旋共振(Electron Cyclotron Resonance,ECR)经由电感的或电容的射频(Radio Frequency,RF)功率或微波功率传输产生的。此外,加热电子用来电离某些物质周围气体物质并产生适合于曝露面蚀刻化学反应的反应物物质。一旦形成等离子体,用等离子体蚀刻衬底的所选表面。调整所述处理以达到适当的条件,包括在衬底的所选区域中,适当浓度的所需反应物和离子组合来蚀刻各种特征(例如,沟槽、通孔、触点等)。需要蚀刻的这些衬底材料包括二氧化硅(SiO2)、低介电系数材料、多晶硅和氮化硅。
在所述等离子蚀刻系统中,处理结果沿着衬底的均匀性受到衬底上方处理空间内等离子体密度空间变化的影响,一般表示为电子密度空间分布ne(r,θ)、处理化学中的空间差异(例如,化学物质的空间分布)和衬底温度的空间差异。通常,在处理空间中化学物质的停留时间τ(r,θ)可以同等离子体电离数量相关,所述等离子体电离由于化学组分和高能电子之间的相互作用而产生,因此,停留时间可以同处理化学相关;即,停留时间越长,化学组分的电离数量越多,而停留时间越短,化学组分的电离数量越少。
在蚀刻处理中,处理结果的均匀性可以包括沿着衬底的特征临界尺寸(CD)或沿着衬底的侧壁角度(SWA)的空间分布的均匀性(或非均匀性)。例如,在栅极结构形成过程中,一种蚀刻处理或一系列蚀刻处理之后,希望实现沿着衬底的栅极宽度(在所蚀刻特征的顶部和底部上,以及之间的区域)的均匀分布。
因为蚀刻处理受衬底温度影响,所以衬底温度的分布可以直接影响处理结果的空间分布。而且,衬底温度的区域分布,如果可控,可以用来弥补其他处理或系统的非均匀性。在通常的处理系统中,影响衬底温度的一个要素是衬底和衬底支架之间的热接触。例如,当把衬底固定到衬底支架上,为了提高衬底与衬底支架之间的热导率,把例如氦气的传热气体进入到衬底背部与衬底支架顶部之间的微小空间。除了在衬底外边缘处传热气体的一小部分泄露,传热气体到衬底背部的净流量基本为零。
为了改变衬底温度的径向变化,提供了通常的衬底支架,所述衬底支架利用多区背部气体供应系统来调整传热气体的分布。例如,尤其在蚀刻系统中,通常是两区(中心/边缘)或三区(中心/径中/边缘)背部气体供应系统。但是,所述系统仅促进传热气体的静态分布。
因为在半导体制造中提高处理均匀性一直是一个重要目标,所以需要在处理过程中能提高沿着衬底表面处理参数均匀性的系统。
发明内容
本发明的一个总的目地是在处理过程中提高沿着衬底表面的半导体制造的处理均匀性。
本发明的一个更具体的目地是提供处理参数控制来弥补处理条件的非均匀性影响,以提高沿着衬底表面的处理均匀性。
本发明的一个进一步的目地是在处理过程中提供沿着衬底表面的温度或温度分布的控制。
本发明的另一个目地是在衬底处理过程中引起衬底的变形。
本发明的一个更具体的目地是通过动态调整衬底背部上的气体压强来提供衬底的局部屈曲。
根据本发明的原则,沿着衬底支架改变背部气体压强,从而在处理过程中控制衬底条件和衬底条件的变化或者沿着衬底的均匀性。
根据本发明的其他原则,沿着衬底改变一个或多个处理参数,以提高沿着衬底表面的处理均匀性,所述衬底支撑在衬底支架上。
根据本发明的特定实施例,通过改变衬底和衬底支架之间的热导率,来控制沿着待处理衬底表面的温度或温度分布。
在本发明的特定实施例中,响应于沿着衬底或衬底支撑件的压力、温度或其他参数测量,可以在衬底支架上控制沿着衬底背部的气体压强。所述控制可以通过处理装置的编程控制器自动或交互执行。
本发明所描述的实施例部分的以这样的概念为基础,即衬底和衬底支架之间背部气体的热导率极大的取决于衬底和衬底支架之间的气体压强。因此,本发明给出的实施例中沿着衬底背部改变背部气体压强,来促进衬底和衬底支架之间热传导的变化,并且因此促进衬底温度的变化。
根据本发明的其他实施例,提供了在处理过程中可以控制沿着衬底的径向或非径向温度分布的方法和设备。在某些实施例中,通过弥补或补偿处理条件的非均匀影响来控制衬底温度。在特定实施例中,以一种非均匀的方式控制衬底温度来补偿操作条件的非均匀影响,例如,等离子体密度、处理化学、操作压力或它们的组合的非均匀分布影响。
根据本发明所举例说明的实施例,沿着衬底支撑卡盘上不同的区域动态控制传热气体供给衬底背部或从衬底背部排出传热气体。对传热气体供应的动态控制造成衬底表面上卡盘和衬底之间热传导的空间差异。所述动态控制可以调整背部气体的影响来补偿由其他处理条件引起的非均匀性影响。所述动态控制特别用于克服径向和成角度的非均匀性。
在本发明的某些实施例中,沿着卡盘动态改变例如氦气的背部气体的流动,来更好的控制衬底处理中的均匀性。此外,例如,精心设计动态流时来补偿进入的衬底的非均匀性影响或者补偿静态蚀刻处理的非均匀性。
根据本发明的某些示例性实施例,把衬底划分成多个分区或区域。例如,所述区域可以大约和衬底上的裸片一样大。根据模拟和测量的均匀性结果,可以凭借经验分别确定和设计所述区域的精确图案形状和区域的数量。可以按照预定频率动态调整区域,并且所述频率例如可以根据处理条件改变。为了补偿进入的衬底的非均匀性和处理条件的非均匀性,为了得到例如临界尺寸、蚀刻图像等的均匀蚀刻结果,提供了传热气体的多区、动态可控供应和抽空。
本发明提供了控制沿着衬底表面的温度空间分布的有效方法。所述方法包括动态改变传热气体供应到衬底背部和从衬底背部排出。所述方法可以用于多区、温度可控卡盘或者用于单区卡盘。所述方法可以调整多个处理步骤之间和一个处理步骤中的衬底温度空间分布。
在本发明所举例说明的装置实施例中,提供了多区卡盘,其中每个区域具有至少一个气体端口来供应气体和至少一个气体端口来排出气体。提供了压强调节器来实施区域内气体压强的监测、调整或者控制中至少一项。为了调整衬底表面上处理结果的空间均匀性,提供了可以动态改变每个区域内气体压强的控制。
可以沿着衬底激活气体区域,同时可以按照动态、可控的方式禁用之前激活的区域。每个区域可以具有一批气体端口,进气的或排气的或两者都有,所述气体端口按气体分配歧管或其他来分组。例如,所述分组可以确定所述区域,尽管在用来划定所述区域的卡盘中,可以作为选择的或者另外的提供例如边的表面特征。
可以启动然后中断供应和排出传热气体,引起所中断的背部气流处局部温度升高,同时引起所启动的背部气流处局部温度降低。流动中随区域变化的时间和顺序可以在衬底背部上产生动态压强分布或者压力波,所述动态压强分布或者压力波转而可以改变沿着衬底的动态温度分布或者热波,所述热波放射状或沿圆周或其他方向传输。例如,可以执行供应和排出传热气体的时间或顺序来产生相速度基本不变的压力波。或者,可以执行供应和排出传热气体的时间或顺序来产生沿着空间或时间或两者在相位、速度、幅值或其他方面可变的压力波。另一种方法可以使用沿着衬底的系统区域或随机区域的组合。此外,可以同时改变多个区域。
根据本发明的另一些实施例,提供了衬底处理过程中引起衬底变形的方法。所述方法包括在处理用真空室中的衬底支撑件上支撑衬底;通过多个气体端口组中每一组的进气端口向衬底支撑件与衬底间的空间提供背部气体,所述进气端口位于沿着衬底支撑件的分别多个区域中,衬底支撑件的每个所述区域具有至少一个连接到背部气体供应的进气端口和至少一个连接到真空排气系统的排气端口;并且在所述气体端口中的各个气体端口周围的区域中,在多个气体端口中不同的气体端口处分别控制背部气体压强,来分别控制施加到衬底背部上的局部压力。
在其中一些其他实施例中,控制压强包括分别动态控制至少一个阀,所述阀影响气体流向每一个所述区域中的气体端口,同时分别动态控制至少一个其他阀,所述阀影响气体从每个所述区域的剩余的多个气体端口流出,所述区域环绕所述引入气体的气体端口。
在本发明的另一些实施例中,提供了使衬底局部变形的方法,所述方法中确定了处理过程中衬底的变形分布。在处理用真空室中的衬底支撑件上支撑衬底,并且通过多个气体端口组中每一组的进气端口向衬底支撑件与衬底间的空间提供背部气体,所述进气端口位于沿着衬底支撑件的分别多个区域中。衬底支撑件的每个所述区域具有至少一个连接到背部气体供应的进气端口和至少一个连接到真空排气系统的排气端口。处理过程中,所述气体端口中的各个气体端口周围的区域中,在多个气体端口中不同的气体端口处分别控制背部气体压强,来分别控制施加到衬底背部上的局部压力,以实现预定的衬底变形轮廓。
在本发明的另一些实施例中,预定压强分布图来产生预定的变形轮廓;并且根据预定的压强分布图分别控制背部气体压强。在其他实施例中,处理过程中监测衬底的变形;并且响应于所监测的衬底的变形分别控制背部气体压强。
通过下面的对本发明所列举实施例的具体描述,本发明的所述和其他目的及优点将更加显而易见。
附图说明
图1是根据本发明的一个实施例,细分为多个可控背部气体区域的衬底支撑卡盘上表面的示意图。
图2是根据本发明的另一个实施例,细分为替代图案的衬底支撑卡盘上表面的示意图。
图3是具有衬底支撑卡盘的等离子处理系统的简图。
图4A到4C说明了一种在一个或多个区域处动态改变衬底背部上压强的方法。
图5A到5B根据不同的实施例,说明了衬底局部变形的影响。
具体实施方式
为了解释说明而非限制,在下面的描述中阐述了具体细节,例如衬底处理系统的特有几何形状和对各种处理的描述。但是,应当理解本发明可以在违背具体细节的其他实施例中实施。
衬底处理系统可以包括设置为用等离子体处理衬底的等离子处理系统。或者,衬底处理系统可以包括设置为处理衬底的非等离子体处理系统。衬底处理系统可以包括蚀刻系统,所述蚀刻系统在半导体生产过程中利用等离子体来促进干法蚀刻处理。在美国专利No.6492612和PCT公开WO 02/086957中提供了蚀刻系统的例子;所述每个例子明确通过引用结合于此。尽管如此,本发明可以被用来提高沉积系统或其他处理系统中的均匀性。
例如,衬底处理系统可以包括光致蚀刻剂涂覆系统——例如跟踪系统——中的热处理模块;气相沉积系统,例如化学气相沉积(CVD)系统、等离子增强化学气相沉积(PECVD)系统、原子层沉积(ALD)系统、等离子增强原子层沉积(PEALD)系统、物理气相沉积(PVD)系统或离子化物理气相沉积(iPVD)系统;等离子干法蚀刻系统;非等离子干法蚀刻系统;干式净化系统;灰化系统;化学氧化去除(COR)系统;或设置为热处理衬底的单衬底热处理系统。
可以设置衬底处理系统来处理任意形状的衬底。典型的衬底可以是各种直径的圆晶片,包括但不限于200毫米(mm)、300mm、450mm或更大。此外,例如衬底可以是半导体衬底,例如硅衬底或SiGe衬底,或绝缘硅(SOI)衬底。或者,例如衬底可以是矩形的,例如纯平面板或液晶显示器(LCD)。
在附图中,相同的参考数字表示贯穿多个视图的相同的或相应的部件,图1是面对衬底支撑台20的俯视图,所述衬底支撑台用于支撑衬底处理模块的处理室中的待处理衬底,所述衬底处理模块例如前面提到的蚀刻模块。支撑台20包括衬底夹持系统(例如电气或机械夹持系统)来把衬底夹持到支撑台20的上表面。例如,支撑台20可以包括静电卡盘(ESC)。夹持系统提供了足够大的夹紧力来克服由于为衬底背部提供传热气体所引起的反作用压力。此外,可以调整夹紧力来改变衬底与支撑台20触点的增大或减小。设计并实现衬底夹持系统对于半导体生产设备以及机械和静电夹持系统领域的技术人员是众所周知的。
支撑台20包括具有一个或多个温度控制单元的支撑台温度控制系统。所述支撑台温度控制系统可以包括加热系统或冷却系统,或两者皆有。例如,支撑台20可以包括位于支撑台20表面下方的衬底加热单元或衬底冷却单元(未示出)。例如,加热系统或冷却系统可以包括循环液流,所述循环液流冷却时从支撑台20获取热量并把热量传递到热交换器系统(未示出),或加热时从热交换器系统传递热量到支撑台。所述冷却系统或加热系统可以包括多个加热/冷却单元,例如位于支撑台20内的电阻加热单元或电热加热器/冷却器。此外,加热单元或冷却单元或两者可以布置在多个分别控制温度区内。如图1所示,支撑台20可以有两个感热区,包括内部圆形区22和外部环形区24。区域22和24的温度通过分别加热或冷却支撑台感热区来控制。
支撑台20的上表面可以具有一批气体端口26,通过所述气体端口可以把传热气体进入到衬底背部与支撑台20上表面之间的空间中或从所述空间中排出传热气体,所述传热气体例如氦气。把传热气体进入到衬底背部促进增大衬底和支撑台20之间的热导率,而从衬底背部排出传热气体促进减小衬底和支撑台20之间的热导率。在蚀刻系统中,通常传热气体的压强可以是近似30Torr,通常超过真空处理室中的处理压强,因此,这种压力差导致了从支撑台20的表面向外施加到衬底上的合力。如上所述,所述压力被夹持系统所克服,例如提供静电夹紧力来把衬底夹持到支撑台20的静电卡盘。在常见的系统中,在衬底和支撑台20的支撑表面之间进入传热气体通常保持在静态条件下。
根据图1所示实施例,气体端口26被排列和划分成多个分区和区域。例如,气体端口26的分组可以按笛卡尔或栅格状方式排列。作为这种情况的一个例子,描述了25个正方形区域30组成的矩形阵列。但是,每个区域的形状或区域的数量或上述两者可以是任意的。例如,每个区域可以与衬底裸片相对应。
每个区域30包括至少一个气体端口,通过所述气体端口可以引入传热气体或通过所述气体端口可以排出传热气体。例如图1所示,每个区域可以具有一组36个气体端口26。至少部分所述气体端口26可以通过区域控制阀32连接到气体供应源28,如图3所示。区域30中至少部分所述气体端口26可以通过另一个控制阀32连接到排气或抽气系统29。用于供应传热气体的气体端口可以独立于用于排出传热气体的气体端口,如图3所描述。或者,用于供应传热气体的气体端口也可以用来排出热交换器体。在这种情况下,至少两个控制阀用于每个区域,来选择或者截止流向排气装置的气流并允许传热气流流向衬底,或者截止来自气体供应源的气流并允许气流从衬底流向排气装置的气流。
对应于特定区域30并设置为向所述区域供应传热气体的多个气体端口26可以连接到通用气体供应歧管,而对应于特定区域30并设置从所述区域内排出传热气体的多个气体端口26可以连接到通用排气歧管。此外,气体端口26的划分可以通过支撑台20中诸如边的表面特征来进一步描述。
可以激活区域来向所述区域供应传热气体,同时可以禁用之前所激活的区域来从所述区域排出传热气体。激活和禁用区域可以按动态可控的方式执行。每个控制阀32包括压强调节器或者同压强调节器一起使用来设置特定区域的气体压强,通过所述控制阀向衬底背部供应传热气体。例如,提供给每个区域的气体压强可以在几Torr到近似100Torr的范围内变化。此外,例如,提供给每个区域的气体压强可以在10Torr到近似50Torr的范围内变化。例如,在激活区域的过程中,气体压强可以增加到50Torr,而在禁用区域的过程中,气体压强可以减小到近似10到30Torr或更小。
可以启动然后中断供应和排出传热气体,引起所中断的背部气流处局部温度升高,同时引起所启动的背部气流处局部温度降低。流动中随区域变化的时间和顺序可以在衬底背部上产生动态压强分布或者压力波,所述动态压强分布或者压力波转而可以改变沿着衬底的动态温度分布或者热波,所述热波放射状或沿圆周或其他方向传输。术语“热波”在这里用来指的是施加到衬底背部的随空间变化的压强,而并不意味着和传统意义上的声压波混淆,所述声压波是在连续气体气氛中依靠分子间碰撞的纵向波。可以执行供应和排出传热气体的时间或顺序来产生相速度基本不变的压力波。或者,可以执行供应和排出传热气体的时间或顺序来产生相速度在空间和/或时间上可变的压力波。另一种方法可以使用沿着衬底的系统区域或随机区域的组合。此外,可以同时改变多个区域。
每个区域的控制阀32可以通过控制器35分布控制。例如,控制器35可以按从支撑台20的一边到相对边的序列控制多个阀32,以便沿着衬底生成热波,例如图1中箭头36的方向。或者,控制器35可以在以支撑台20的中心为圆心的圆周方向依次控制多个阀32,以便生成以衬底的中心为圆心而周向传播的热波,例如图1中箭头38的方向。或者另外,控制器35可以沿径向朝着或者远离支撑台20的中心传播的顺序控制多个阀32,以便产生径向传播的热波,所述热波沿径向向内朝着衬底的中心传播或者沿径向从衬底中心向外传播,例如图1中箭头39的方向。或者也可以采用其他模式。
现在参考图4A到图4C,进一步提供了一些例子来说明背部压强的动态调整。如图4A所示,在栅格排列中提供了多个区域130。在第一次维持时间中激活一个区域132,同时禁用周围的多个区域134。禁用周围区域134可以包括在减小的压力(相对于区域132)下操作所述区域,或者可以包括排空所述区域。在第一次持续时间之后,可以禁用所激活的区域132,然后可以激活相邻的区域,如图4A中操作方向136所示。
如图4B所示,在栅格排列中有多个区域230。在第一次持续时间,激活一个区域232,同时禁用周围的多个区域235。禁用周围区域235可以包括在减小的压力(相对于区域232)下操作所述区域,或者可以包括排空所述区域。此外,可以以中等压强激活中间区域234,例如,所述中间压力例如所激活区域232和周围多个区域235之间的值。在第一次持续时间之后,可以禁用所激活的区域232,然后可以激活相邻的区域,如图4B中操作方向236所示。
如图4C所示,在栅格排列中有多个区域330。在第一次持续时间,激活几个区域332,同时禁用周围的多个区域334。禁用周围区域334可以包括在减小的压力(相对于所激活的区域332)下操作所述区域,或者可以包括排空所述区域。在第一次持续时间之后,可以禁用所激活区的域332,然后可以激活相邻的区域,如图4C中操作方向336所示。
现在参考图5A到图5B,进一步提供了一些另外的例子来说明为了引起衬底局部变形而对背部压力的动态调整。如图5A所示,衬底400在位置410处是局部变形的,其中在区域432处激活背部压强,而在周围区域434处禁用或排空背部压强。根据一个例子,在位置410处衬底400的变形引起了位置410处衬底400中的拉伸应力412,同时引起围绕位置410处的衬底400中的压缩应力414。例如,对衬底动态加压,包括拉伸和压缩应力,和卸载可以影响晶体界面的松弛或导致更多的晶界,甚至可以减少晶体缺陷。根据另一个例子,如图5B所示,通过碰撞处理剂512,位置A处衬底400的倾斜可以影响对特征500的侧壁510更直接的处理。例如,处理剂512可以包括在侧壁510上沉积的材料,或者可以包括来自蚀刻等离子体、清洗等离子体或处理等离子体的离子。
局部压强可以超过50Torr,或更优的可以超过100Torr。或者,局部压强可以超过500Torr。
支撑台20可以进一步包括温度监测系统(未示出)和一个或多个温度测量装置。控制器35可以连接到温度监测系统,而且可以设置为在一个或多个方向执行至少一次对衬底温度、或者支撑台20、或以上两者的调整、控制或监测。
温度测量装置可以包括光纤温度计、光学高温计、如未决美国专利申请10/168544中所述的边缘温度测量系统、或者诸如K型热电偶的热电偶,所述申请提交于2002年7月2日并且其内容通过引用整体结合于此。光学温度计的例子包括:可以从Advanced Energies,Inc.商购的产品型号为OR2000F的光纤温度计;可以从Luxtron Corporation商购的产品型号为M600的光纤温度计;或者可以从Takaoka Electric Mfg.商购得产品型号为FT-1420的光纤温度计。
温度空间图像,或例如处理结果空间图像,包括例如临界尺寸、形貌、侧壁角度(SWA)、蚀刻率、沉积率、膜厚度等,并且可以用来指定控制模式,所述控制模式用于调整向衬底背部上不同的温度区域供应传热气体。例如,如果获得了衬底蚀刻率的空间图像或者光刻后衬底图案CD的空间图像,并且知道了蚀刻率(或CD)和衬底温度之间的关系(例如,蚀刻率随温度增高而增大),那么可以相应调整至少特定热区的一种气体压强,或者可以相应调整激活(具有在特定压力下的传热气体)或禁用(具有在减小的压力下的或排空的传热气体)特定热区的时间。例如,需要较小蚀刻率(以修正所获得的蚀刻率非均匀空间图)的感热区可以在较高背部压强下和/或较长持续时间内操作,而需要较大蚀刻率的热区可以在较低背部压强下和/或较短持续时间内操作。
控制器35通常可以包括微处理器、内存、和数字输入/输出(I/O)口,有可能包括数字/模拟(D/A)和/或A/D转换器,所述控制器能够产生足够传送的控制电压,并且激活支撑台20的输入同时监测支撑台20的输出。如图3所示,控制器35可以连接到控制阀32、气体供应系统28、抽气系统29、夹持系统(未示出)、支撑台温度控制系统(未示出)和温度监测系统(未示出),并与上述这些装置交换信息。内存中存储的程序根据所存储的处理处方来与热处理装置相互作用。
控制器35还可以用通用计算机、处理器、数字信号处理器等实现,所述通用计算机、处理器、数字信号处理器等使得热处理装置执行本发明的一部分或全部的处理步骤,以响应于执行计算机可读媒体中的一个或多个指令的一个或多个序列的控制器35。计算机可读媒体或内存设置为根据本发明的教导来保持编程指令,并且可以包含数据结构、数据表、记录、或其他在此所描述的数据。控制器的一个例子可以是通用计算机,例如可从德克萨斯州奥斯丁的DELL公司购得的DELL PRECISIONWORKSTATION 640TM。计算机可读介质的例子有光盘、硬盘、软盘、磁带、磁光盘、可编程只读存储器PROM(可擦可编程只读存储器EPROM、电可擦除只读存储器EEPROM、快可擦编程只读存储器flashEPROM)、动态随机存取存储器DRAM、静态随机存取存储器SRAM、同步动态随机存取存储器SDRAM、或任何其他磁性介质、光盘(例如光盘只读存储器CD-ROM)、或任何其他光学介质、穿孔卡、纸带、或任何其他具有孔图案的物理介质、载波、或任何其他计算机可读介质。
控制器35可以位于相对于支撑台20就近的位置,或者可以位于相对于支撑台20较远的位置,所述控制器通过互联网或局域网连接到所述支撑台。因此,控制器35可以利用直接连接、局域网或互联网中至少一种与支撑台20交换数据。控制器35可以在客户站点(例如器件制造商等)连接到局域网,或者在厂商站点(例如设备制造商)连接到局域网。而且,另一台电脑(例如,控制器、服务器等)可以通过直接连接、局域网或互联网中至少一种访问控制器35以交换数据。
图2说明了另一种支撑台20a,所述支撑台20a具有多个区域30a组成的同心圆环排列和多个气体端口26a组成的同心圆环,并且与在每个区域30a内控制气流流入或流出气体端口26a的阀组合,所述区域30a可以用图1中与区域30相似的方式操作。可以使用各种区域图案。图1中的实施例可以更容易的制造箭头36所表示的图案,同时图2中的实施例可以更容易的制造箭头38或39所表示的图案,尽管可以控制所述任一实施例都近似每种或另一种图案。
如图3所示,支撑台20或20a在真空室40的底部通常处于正面朝上方向来支撑衬底,所述衬底朝着位于真空室40上部中的衬底上的等离子体源42。这种情况下,等离子体源42是连接到射频发生器44的线圈或天线,所述射频发生器在真空室40中产生电感耦合等离子体。或者,等离子体源42包括产生电容耦合等离子体的电极。
尽管上面只详细描述了本发明的某些示例实施例,但是本领域技术人员将容易理解在实质上不脱离本发明的创新和优点的情况下,在示例实施例中可以有很多修改。因此,所有这些修改意欲列入本发明的范围。

Claims (38)

1.一种控制衬底表面的处理均匀性的方法,包括:
在处理用真空室中的衬底支撑件上支撑衬底;
通过所述衬底支撑件中多个气体端口向所述衬底支撑件和所述衬底之间的空间提供背部气体;
在所述气体端口中不同的气体端口处分别控制背部气体压强,以在各个所述气体端口的周围区域中分别控制处理过程中背部气体沿着所述衬底的压强的变化或均匀性。
2.根据权利要求1所述的方法,其中:
控制所述背部气体压强包括控制压强以控制所述衬底和所述衬底支撑件之间的热导率,从而改变处理过程中沿着所述衬底的温度分布。
3.根据权利要求1所述的方法还包括:
监测沿着所述衬底的参数或所述衬底,并且响应此监控而控制所述背部气体压强。
4.根据权利要求1所述的方法,其中:
在所述衬底支撑件上支撑所述衬底包括用静电力把衬底固定到所述支撑件上。
5.根据权利要求1所述的方法,其中:
提供所述背部气体包括通过多个气体端口组中每一组的多个气体端口注入气体,所述多个气体端口组位于沿着所述衬底支撑件的多个区域中。
6.根据权利要求3所述的方法还包括:
根据动态改变沿着所述衬底的表面温度差的序列,分别控制通过各个所述区域中的所述气体端口的背部气流。
7.根据权利要求1所述的方法还包括:
分别控制通过多个气体端口组的所述背部气流,所述多个气体端口组位于沿着所述衬底的各个区域内,以补偿处理均匀性上的非均匀性改变的方式来改变沿着所述衬底的温度分布,所述处理均匀性的非均匀性改变是由处理室内的其他处理条件所引起的。
8.根据权利要求1所述的方法还包括:
分别控制通过多个气体端口组的所述背部气流,所述多个气体端口组位于沿着所述衬底的各个区域内,以便以补偿进入的晶片的非均匀性改变或者静电蚀刻处理的非均匀性的方式,来改变所述衬底上的温度分布。
9.根据权利要求1所述的方法,其中:
所述衬底支撑件的每个所述区域具有至少两个所述气体端口,所述气体端口包括至少一个连接到背部气体供应的背部气体进气端口、和至少一个连接到真空排气系统的排气端口,并且其中所述方法还包括:
分别动态控制至少一个阀,所述阀改变流向或流出每一个所述区域中的端口的气流,以改变处理过程中沿着衬底的温度分布。
10.根据权利要求1所述的方法,一种等离子体处理装置包括:真空室;所述真空室中具有衬底支撑表面的衬底支撑件;耦合到所述真空室的等离子体源;所述衬底支撑件表面中的多个气体端口,其定位成在所述衬底支撑件表面和其上所支撑的衬底之间传输背部气体;所述衬底支撑件中用于改变衬底支撑件的温度的温度控制单元;多个阀,每个所述阀耦合到至少一个所述气体端口;和控制器,可操作为分别控制所述阀,以控制沿着所述衬底支撑件表面的背部气体分布,从而沿着所述衬底改变所述支撑件表面和所述衬底之间的热导率。
11.根据权利要求1所述的方法,还包括:
在所述气体端口处控制所述背部气体,以至少部分地沿着所述衬底的直径动态改变所述衬底的温度。
12.根据权利要求1所述的方法,还包括:
在所述气体端口处控制所述背部气体,以至少部分地从所述衬底的中心沿径向改变所述衬底的温度。
13.根据权利要求1所述的方法,还包括:
在所述气体端口处控制所述背部气体,以至少部分地围绕所述衬底中心沿圆周方向改变衬底温度。
14.一种等离子处理装置,包括:
真空室;
所述真空室中具有衬底支撑表面的衬底支撑件;
耦合到所述真空室的等离子体源;
所述衬底支撑件表面中的多个气体端口,其定位成在所述衬底支撑件表面和其上所支撑的衬底之间传输背部气体;
所述衬底支撑件中用于改变所述衬底支撑件的温度的温度控制单元;
多个阀,每个所述阀耦合到至少一个所述气体端口;和
控制器,可操作为分别控制所述阀,以控制沿着所述衬底支撑件表面的背部气体分布,从而沿着所述衬底改变所述支撑件表面和所述衬底之间的热导率。
15.根据权利要求12所述的装置,还包括:
用于沿着所述衬底或所述衬底支撑件监测参数的传感器;和
可操作为响应于所述传感器而分别控制所述阀的控制器。
16.根据权利要求12所述的装置,还包括:
用于沿着所述衬底或所述衬底支撑件监测压强的传感器;和
可操作为响应于所述传感器而分别控制所述阀的控制器。
17.根据权利要求12所述的装置,还包括:
用于沿着所述衬底或所述衬底支撑件监测温度的传感器;和
可操作为响应于所述传感器而分别控制所述阀的控制器。
18.根据权利要求12所述的装置,其中:
所述衬底支撑件是静电卡盘。
19.根据权利要求12所述的装置,其中:
所述衬底支撑件是在其中具有多区温度控制单元的静电卡盘,所述温度控制单元用于分别控制所述支撑件表面的多个区域中每一个的温度。
20.根据权利要求12所述的装置,其中:
所述端口按照一种图案排列的并且分成多个组,每个组的端口布置在所述衬底支撑件表面的不同区域上,每个阀可操作为在对应的一组端口处控制背部气体,以改变接近对应对应的一组端口的热导率,从而改变沿所述支撑件表面上的所述衬底的温度分布。
21.根据权利要求12所述的装置,还包括:
背部气体供应;
真空抽气系统;
所述衬底支撑件表面包括多个区域,每个所述区域具有多个气体端口,其包括至少一个连接到所述背部气体供应的进气端口和至少一个连接到所述真空抽气系统的排气端口,每个区域的至少一个端口通过相应的一个阀而连接如此;
控制器,可操作为控制每个阀,以控制所述背部气体,以改变所述衬底中接近所述支撑件表面的对应区域的局部温度,从而改变沿所述支撑件表面上的所述衬底的温度分布。
22.一种使衬底局部变形的方法,包括:
在处理用真空室中的衬底支撑件上支撑衬底;
通过多个端口组中每一组的进气端口向所述衬底支撑件与所述衬底间的空间提供背部气体,所述进气端口位于沿着所述衬底支撑件的对应多个区域中,衬底支撑件的每个所述区域具有连接到背部气体供应的至少一个进气端口和连接到真空排气系统的至少一个排气端口;
通过分别动态控制改变到每一个所述区域中的端口的气流的至少一个阀同时分别动态控制改变从围绕引入气体的端口的每个所述区域的剩余的多个端口的气流的至少一个其他阀,在多个端口中不同端口处分别控制背部气体压强,以分别在对应的所述端口中的各个端口周围的区域中控制施加到衬底背部上的局部压力。
23.根据权利要求22所述的方法,其中:
在所述衬底支撑件上支撑所述衬底包括用静电力把所述衬底固定到所述支撑件上。
24.根据权利要求22所述的方法,其中:
提供背部气体包括通过多个端口组中每一组的多个端口注入气体,所述端口组位于沿着所述衬底支撑件的多个区域中。
25.根据权利要求24所述的方法还包括:
根据动态改变施加到衬底背部的局部压力的序列,分别控制通过对应区域中的气体端口的背部气流。
26.根据权利要求22所述的方法,还包括:
在所述衬底的特征上沉积膜。
27.根据权利要求22所述的方法,还包括:
在所述衬底的特征上蚀刻膜。
28.根据权利要求22所述的方法,还包括:
在所述衬底的特征上处理膜的侧壁。
29.根据权利要求22所述的方法,其中:
所述衬底支撑件的每个所述区域具有至少两个所述端口,所述端口包括连接到背部气体供应的至少一个背部气体进气端口和连接到真空排气系统的至少一个排气端口。
30.根据权利要求22所述的方法,其中施加到其中一个所述区域处衬底背部的局部压强超过50Torr。
31.根据权利要求22所述的方法,其中施加到其中一个所述区域处衬底背部的局部压强超过100Torr。
32.根据权利要求22所述的方法,其中施加到其中一个所述区域处衬底背部的局部压强超过500Torr。
33.根据权利要求22所述的方法,其中施加到其中一个所述区域处衬底背部的局部压强导致所述衬底的变形。
34.根据权利要求22所述的方法,其中施加到其中一个所述区域处衬底背部的局部压强导致形成所述衬底中拉伸应力和压缩应力。
35.一种使衬底局部变形的方法,包括:
处理过程中预定衬底变形轮廓;
在处理用真空室中的衬底支撑件上支撑衬底;
通过位于沿着衬底支撑件的对应多个区域中的多个端口组中每一组的进气端口向所述衬底支撑件与所述衬底之间的空间提供背部气体,所述衬底支撑件的每个所述区域具有连接到背部气体供应的至少一个进气端口和连接到真空排气系统的至少一个排气端口;
在多个端口中不同的端口处分别控制背部气体压力,来分别在所述端口中的各个端口周围的区域中控制施加到衬底背部上的局部压力,以实现预定的衬底变形轮廓。
36.根据权利要求35所述的方法还包括:
预定压强分布图来产生预定的变形轮廓;并且
根据预定的压强分布图分别控制背部气体压强。
37.根据权利要求35所述的方法还包括:
在处理过程中监测衬底的变形;并且
响应于所监测的衬底的变形分别控制背部气体压强。
38.根据权利要求35所述的方法,其中:
控制压强包括分别动态控制改变到每一个所述区域中的端口的气流的至少一个阀同时分别动态控制改变从围绕引入气体的端口的每个所述区域的剩余的多个气体端口的气流的至少一个其他阀。
CN2008800158060A 2007-03-12 2008-03-11 用于提高衬底内处理均匀性的动态温度背部气体控制 Active CN101681870B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/684,957 2007-03-12
US11/684,818 2007-03-12
US11/684,818 US7674636B2 (en) 2007-03-12 2007-03-12 Dynamic temperature backside gas control for improved within-substrate process uniformity
US11/684,957 US7576018B2 (en) 2007-03-12 2007-03-12 Method for flexing a substrate during processing
PCT/US2008/056478 WO2008112673A2 (en) 2007-03-12 2008-03-11 Dynamic temperature backside gas control for improved within-substrate processing uniformity

Publications (2)

Publication Number Publication Date
CN101681870A true CN101681870A (zh) 2010-03-24
CN101681870B CN101681870B (zh) 2011-08-17

Family

ID=39760346

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800158060A Active CN101681870B (zh) 2007-03-12 2008-03-11 用于提高衬底内处理均匀性的动态温度背部气体控制

Country Status (4)

Country Link
JP (1) JP2010521820A (zh)
KR (1) KR101526615B1 (zh)
CN (1) CN101681870B (zh)
WO (1) WO2008112673A2 (zh)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420162A (zh) * 2011-04-29 2012-04-18 上海华力微电子有限公司 一种静电卡盘温度控制区的设计
CN103928368A (zh) * 2014-03-20 2014-07-16 上海华力微电子有限公司 一种在线实时控制硅片背压的结构及方法
CN104862673A (zh) * 2015-04-27 2015-08-26 沈阳拓荆科技有限公司 一种中心出气的可控温加热盘
CN104928652A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种圆形分布的凸台表面结构的可控温加热盘
CN104928651A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种温流室出气的可控温加热盘
CN104988472A (zh) * 2015-06-25 2015-10-21 沈阳拓荆科技有限公司 半导体镀膜设备控温系统
CN105603390A (zh) * 2014-09-26 2016-05-25 朗姆研究公司 具有主动冷却型格栅的气体分配装置
CN105624636A (zh) * 2016-03-11 2016-06-01 京东方科技集团股份有限公司 一种溅射成膜的参数调节方法及系统
CN106298453A (zh) * 2016-08-31 2017-01-04 上海华力微电子有限公司 一种维持晶圆背压稳定的方法
CN106480430A (zh) * 2015-08-31 2017-03-08 沈阳拓荆科技有限公司 一种带有热交换器的温控系统
CN107112258A (zh) * 2014-11-19 2017-08-29 瓦里安半导体设备公司 通过背面气体流量控制工件温度
CN107422609A (zh) * 2017-05-25 2017-12-01 昆山国显光电有限公司 一种光刻的显影辅助方法及设备
CN108074845A (zh) * 2016-11-18 2018-05-25 株式会社日立国际电气 基板处理装置、反应管以及半导体装置的制造方法
CN108364845A (zh) * 2018-03-20 2018-08-03 武汉华星光电技术有限公司 一种干法刻蚀设备
CN108376659A (zh) * 2018-01-30 2018-08-07 上海华力微电子有限公司 一种静电吸盘除静电时的氦气压力控制系统及方法
CN110361938A (zh) * 2018-03-26 2019-10-22 上海微电子装备(集团)股份有限公司 一种曝光方法及半导体器件的制造方法
CN112352302A (zh) * 2019-01-25 2021-02-09 玛特森技术公司 隔栅中的等离子体后气体注入
CN116024555A (zh) * 2023-03-27 2023-04-28 长鑫存储技术有限公司 衬底处理装置和方法

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156055A1 (en) * 2010-06-11 2011-12-15 Tokyo Electron Limited Apparatus and method for chemical vapor deposition control
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
US10036964B2 (en) 2015-02-15 2018-07-31 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
GB201615114D0 (en) * 2016-09-06 2016-10-19 Spts Technologies Ltd A Method and system of monitoring and controlling deformation of a wafer substrate
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11114327B2 (en) * 2017-08-29 2021-09-07 Applied Materials, Inc. ESC substrate support with chucking force control
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7234549B2 (ja) * 2018-09-12 2023-03-08 東京エレクトロン株式会社 真空搬送モジュール及び真空搬送方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7198629B2 (ja) * 2018-10-26 2023-01-04 日本特殊陶業株式会社 保持装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN113168123A (zh) * 2018-12-21 2021-07-23 Asml控股股份有限公司 掩模版子场热控制
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
US20240096605A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Backside deposition for wafer bow management

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000041229A1 (fr) * 1998-12-28 2000-07-13 Tokyo Electron Limited Appareil a plasma et electrode inferieure associee
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
JP2005079415A (ja) * 2003-09-02 2005-03-24 Hitachi High-Technologies Corp プラズマ処理装置
JP4417731B2 (ja) * 2004-01-13 2010-02-17 株式会社日立ハイテクノロジーズ プラズマ処理装置及び静電吸着電極
US20060090855A1 (en) * 2004-10-29 2006-05-04 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
CN100382275C (zh) * 2004-10-29 2008-04-16 东京毅力科创株式会社 基板载置台、基板处理装置及基板的温度控制方法
US7718007B2 (en) * 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
JP5478065B2 (ja) * 2005-08-17 2014-04-23 アプライド マテリアルズ インコーポレイテッド ロウ付けプレートおよび抵抗ヒーターを有する基板サポート
US8690135B2 (en) * 2006-12-18 2014-04-08 Camtek Ltd. Chuck and a method for supporting an object

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420162A (zh) * 2011-04-29 2012-04-18 上海华力微电子有限公司 一种静电卡盘温度控制区的设计
CN103928368A (zh) * 2014-03-20 2014-07-16 上海华力微电子有限公司 一种在线实时控制硅片背压的结构及方法
CN103928368B (zh) * 2014-03-20 2017-10-03 上海华力微电子有限公司 一种在线实时控制硅片背压的结构及方法
CN105603390B (zh) * 2014-09-26 2018-04-27 朗姆研究公司 具有主动冷却型格栅的气体分配装置
TWI676706B (zh) * 2014-09-26 2019-11-11 美商蘭姆研究公司 具有主動冷卻的柵條之氣體分配裝置
CN105603390A (zh) * 2014-09-26 2016-05-25 朗姆研究公司 具有主动冷却型格栅的气体分配装置
CN107112258A (zh) * 2014-11-19 2017-08-29 瓦里安半导体设备公司 通过背面气体流量控制工件温度
CN107112258B (zh) * 2014-11-19 2020-11-24 瓦里安半导体设备公司 控制工件的温度的系统及方法
CN104928651A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种温流室出气的可控温加热盘
CN104928652A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种圆形分布的凸台表面结构的可控温加热盘
CN104862673A (zh) * 2015-04-27 2015-08-26 沈阳拓荆科技有限公司 一种中心出气的可控温加热盘
CN104988472A (zh) * 2015-06-25 2015-10-21 沈阳拓荆科技有限公司 半导体镀膜设备控温系统
CN106480430A (zh) * 2015-08-31 2017-03-08 沈阳拓荆科技有限公司 一种带有热交换器的温控系统
CN105624636A (zh) * 2016-03-11 2016-06-01 京东方科技集团股份有限公司 一种溅射成膜的参数调节方法及系统
CN105624636B (zh) * 2016-03-11 2019-07-05 京东方科技集团股份有限公司 一种溅射成膜的参数调节方法及系统
CN106298453A (zh) * 2016-08-31 2017-01-04 上海华力微电子有限公司 一种维持晶圆背压稳定的方法
CN108074845A (zh) * 2016-11-18 2018-05-25 株式会社日立国际电气 基板处理装置、反应管以及半导体装置的制造方法
CN108074845B (zh) * 2016-11-18 2021-12-03 株式会社国际电气 基板处理装置、反应管以及半导体装置的制造方法
CN107422609A (zh) * 2017-05-25 2017-12-01 昆山国显光电有限公司 一种光刻的显影辅助方法及设备
CN108376659B (zh) * 2018-01-30 2020-08-04 上海华力微电子有限公司 一种静电吸盘除静电时的氦气压力控制系统及方法
CN108376659A (zh) * 2018-01-30 2018-08-07 上海华力微电子有限公司 一种静电吸盘除静电时的氦气压力控制系统及方法
CN108364845B (zh) * 2018-03-20 2020-05-05 武汉华星光电技术有限公司 一种干法刻蚀设备
CN108364845A (zh) * 2018-03-20 2018-08-03 武汉华星光电技术有限公司 一种干法刻蚀设备
CN110361938A (zh) * 2018-03-26 2019-10-22 上海微电子装备(集团)股份有限公司 一种曝光方法及半导体器件的制造方法
CN112352302A (zh) * 2019-01-25 2021-02-09 玛特森技术公司 隔栅中的等离子体后气体注入
CN116024555A (zh) * 2023-03-27 2023-04-28 长鑫存储技术有限公司 衬底处理装置和方法
CN116024555B (zh) * 2023-03-27 2023-07-11 长鑫存储技术有限公司 衬底处理装置和方法

Also Published As

Publication number Publication date
JP2010521820A (ja) 2010-06-24
WO2008112673A2 (en) 2008-09-18
CN101681870B (zh) 2011-08-17
KR101526615B1 (ko) 2015-06-05
KR20100015515A (ko) 2010-02-12
WO2008112673A3 (en) 2009-02-05

Similar Documents

Publication Publication Date Title
CN101681870B (zh) 用于提高衬底内处理均匀性的动态温度背部气体控制
US7988813B2 (en) Dynamic control of process chemistry for improved within-substrate process uniformity
TWI406348B (zh) 基於改善基板內之製程均勻性目的之動態溫度背側氣體控制
US7576018B2 (en) Method for flexing a substrate during processing
CN102593025B (zh) 半导体晶片的多区域温度控制
US10199246B2 (en) Temperature control mechanism, temperature control method and substrate processing apparatus
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
TW201703187A (zh) 多電極基板支撐組件與相位控制系統
US10163607B2 (en) Temperature control method and plasma processing apparatus
JP2012503342A (ja) 高アスペクト比構造のエッチングに適したエッチングリアクタ
US10615008B2 (en) Temperature control method
TW200807551A (en) Apparatus and method for processing plasma
CN109273378A (zh) 平衡晶圆弯曲度分布的方法
TW201932640A (zh) 半導體裝置製造設備與製造方法
US8815012B2 (en) Emissivity profile control for thermal uniformity
US11715654B2 (en) Temperature adjusting device
KR101392654B1 (ko) 회전 척을 이용한 플라즈마 프로세스, 막 증착 방법 및 시스템
JP2007201355A (ja) ウエハ載置用電極
JP2022530213A (ja) 空間的に調節可能なウエハへのrf結合を有する静電チャック
JP3545668B2 (ja) 加熱装置及びその方法
WO2019236937A1 (en) Temperature controlled gas diffuser for flat panel process equipment
JP7394556B2 (ja) 載置台及び基板処理装置
TWI797519B (zh) 多區靜電吸盤
CN118086880A (zh) 一种内置加热真空反应结构
TW202220085A (zh) 用於面板溫度控制的系統和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant