WO2019236937A1 - Temperature controlled gas diffuser for flat panel process equipment - Google Patents

Temperature controlled gas diffuser for flat panel process equipment Download PDF

Info

Publication number
WO2019236937A1
WO2019236937A1 PCT/US2019/035932 US2019035932W WO2019236937A1 WO 2019236937 A1 WO2019236937 A1 WO 2019236937A1 US 2019035932 W US2019035932 W US 2019035932W WO 2019236937 A1 WO2019236937 A1 WO 2019236937A1
Authority
WO
WIPO (PCT)
Prior art keywords
channel
diffuser plate
fluid
diffuser
return
Prior art date
Application number
PCT/US2019/035932
Other languages
French (fr)
Inventor
Suhail Anwar
Jeevan Prakash SEQUEIRA
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020217000441A priority Critical patent/KR102572740B1/en
Priority to CN201980039055.4A priority patent/CN112262228A/en
Priority to JP2020567203A priority patent/JP7164632B2/en
Publication of WO2019236937A1 publication Critical patent/WO2019236937A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Definitions

  • a diffuser in one embodiment, includes a top diffuser plate having an upstream surface and a downstream surface and a plurality of first gas passage sections disposed in the top diffuser plate. Each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate. Each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleable with a fluid supply conduit of a heat exchanger. Each fluid channel is connected to a return channel disposed in the top diffuser plate. The return channel having a return outlet is configured to be coupieabie with a fluid return conduit of the heat exchanger.
  • a bottom diffuser piate coupled to the top diffuser plate. The bottom diffuser plate has an upstream surface and a downstream surface.
  • the return channel has a return outlet configured to be coupieabie with a fluid return conduit of the heat exchanger.
  • the return bypass channel is in fluid communication with the return channel.
  • a bottom diffuser piate coupled to the top diffuser plate.
  • the bottom diffuser plate has an upstream surface and a downstream surface.
  • a chamber in yet another embodiment, includes a support assembly and a radio frequency (RF) power source coupled to a diffuser.
  • the diffuser is disposed opposite the support assembly.
  • the diffuser includes a top diffuser plate having an upstream surface and a downstream surface and a plurality of first gas passage sections disposed in the top diffuser plate. Each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate.
  • Each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleable with a fluid supply conduit of a heat exchanger.
  • Each fluid channel Is connected to a return channel disposed in the top diffuser plate.
  • the return channel having a return outlet is configured to be coupleable with a fluid return conduit of the heat exchanger.
  • a bottom diffuser plate coupled to the top diffuser plate.
  • the bottom diffuser plate has an upstream surface and a downstream surface.
  • Figure 2A is a partial, schematic, cross-sectional view and Figure 2B is a cross-sectional, bottom view of an exemplary diffuser according to an embodiment.
  • FIG. 1 is a schematic cross-sectional view of one embodiment of a plasma enhanced chemical vapor deposition (PECVD) chamber 100, available from Applied Materials, Inc. located in Santa Clara, Calif it is to be understood that the system described below is an exemplary chamber and other chamber s, including chamber s from other manufacturers, may be used with or modified to accomplish aspects of the present disclosure.
  • the chamber 100 includes a chamber body 102, a substrate support assembly 104, and a gas distribution assembly 106.
  • the gas distribution assembly 106 positioned opposite the substrate support assembly 104 and defining a process volume 108 therebetween.
  • the support circuits may include conventional cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a program (or computer instructions) readable by the controller 130 determines which tasks are performable by the chamber 100.
  • the program may be software readable by the controller 130 and may include instructions to monitor and control, for example the predetermined diffuser temperature of the diffuser 105.
  • the diffuser 105 comprises of a top diffuser plate 202 that includes a upstream surface 206 facing the backing plate 103 and a downstream surface 208.
  • the downstream surface 208 of the diffuser 105 at least one of is casted, brazed, forged, hot iso-staticaily pressed and sintered to a upstream surface 210 of a bottom diffuser plate 204 that includes downstream surface 212 that faces the substrate support 1 12.
  • the top diffuser plate 202 has a thickness 244 and the bottom diffuser plate 204 has a thickness 246.
  • Each gas passage 109 includes a first gas passage section 248 in the top diffuser plate 202 and a second passage section 250 in the bottom diffuser plate 204.
  • the orifice hole 216 is typically configured uniformly among the plurality of gas passages 109; however, the restriction through the orifice hole 218 may be configured differently among the plurality of gas passages 109 to promote more gas flow through one area of the diffuser 105 relative to another area.
  • the orifice hole 216 may have a larger diameter and/or a shorter second length 232 in those gas passages 109, of the diffuser 105, closer to the walls of the chamber body 102 so that more gas flows through the edges of the diffuser 105 to increase the deposition rate at the perimeter of the substrate 1 10.
  • the thickness of the diffuser plate is between about 0.8 inch to about 3.0 inch, preferably between about 0.8 inch to about 2.0 inch.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Embodiments described herein provide gas distribution assemblies that improve the uniformity of deposited films or films to be etched. One embodiment of the gas distribution assemblies includes a diffuser having a top diffuser plate and a plurality of first gas passage sections disposed in the top diffuser plate. Each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate. Each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleable with a fluid supply conduit of a heat exchanger. Each fluid channel is connected to a return channel disposed in the top diffuser plate. The return channel having a return outlet is configured to be coupleable with a fluid return conduit of the heat exchanger. A bottom diffuser plate coupled to the top diffuser plate.

Description

TEMPERATURE CONTROLLED GAS DIFFUSER FOR
FLAT PANEL PROCESS EQUIPMENT
BACKGROUND
Field
[0001] Embodiments of the present disclosure generally relate to process chambers, such as plasma-enhanced chemical vapor deposition (PECVD) chambers. More particularly, embodiments of the present disclosure relate to a gas distribution assemblies for process chambers.
Description of the Related Art
[0002] Chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD) are generally employed to deposit thin films on a substrate, such as a transparent substrate for fiat panel display or semiconductor wafer. CVD and PECVD are generally accomplished by introducing a precursor gas or gas mixture into a vacuum chamber that contains a substrate. The precursor gas or gas mixture is typically directed downwardly through a gas diffuser situated near the top of the chamber. The diffuser plate is placed above a substrate that is positioned on a heated substrate support at a small distance such that diffuser and the precursor gas or gas mixture are heated by the radiated heat from the substrate support. During PECVD the precursor gas or gas mixture in the chamber energized (e.g., excited) into a plasma by applying radio frequency (RF) power to the chamber from one or more RF sources coupled to the chamber. The excited gas or gas mixture reacts to form a layer of material on a surface of the substrate that is positioned on a heated substrate support. Volatile by-products produced during the reaction are pumped from the chamber through an exhaust system.
[0003] Flat panels processed by CVD and PECVD processing are typically large, often exceeding 370 mmx470 mm. Thus, large gas diffuser plates (or gas distribution plates) are utilized to provide uniform process gas flow over fiat panels relatively large in size, particularly as compared to gas diffuser plates utilized for 200 mm and 300 mm semiconductor wafer processing. Since the gas diffuser plates are large and are only heated by radiated heat from substrate support and excited plasma, the temperature distribution of the gas diffuser plates is not uniform and results in deposition of films with non-uniform thicknesses or the non-uniform etching of films.
[0004] Therefore, there is a need for improved gas distribution assemblies that improves the uniformity of deposited films or films to be etched.
SUMMARY
[0005] In one embodiment, a diffuser is provided. The diffuser includes a top diffuser plate having an upstream surface and a downstream surface and a plurality of first gas passage sections disposed in the top diffuser plate. Each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate. Each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleable with a fluid supply conduit of a heat exchanger. Each fluid channel is connected to a return channel disposed in the top diffuser plate. The return channel having a return outlet is configured to be coupieabie with a fluid return conduit of the heat exchanger. A bottom diffuser piate coupled to the top diffuser plate. The bottom diffuser plate has an upstream surface and a downstream surface.
[0006] In another embodiment, a diffuser is provided. The diffuser includes a top diffuser piate having an upstream surface and a downstream surface and a plurality of first gas passage sections disposed in the top diffuser plate. Each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate. Each fluid channel is connected to one of a supply channel and a supply bypass channel disposed in the top diffuser piate. The supply channel has a supply inlet configured to be coupieabie with a fluid supply conduit of a heat exchanger. The supply bypass channel in fluid communication with the supply channel. Each fluid channel is connected to one of a return channel and a return bypass channel disposed in the top diffuser piate. The return channel has a return outlet configured to be coupieabie with a fluid return conduit of the heat exchanger. The return bypass channel is in fluid communication with the return channel. A bottom diffuser piate coupled to the top diffuser plate. The bottom diffuser plate has an upstream surface and a downstream surface.
[0007] In yet another embodiment, a chamber is provided. The chamber includes a support assembly and a radio frequency (RF) power source coupled to a diffuser. The diffuser is disposed opposite the support assembly. The diffuser includes a top diffuser plate having an upstream surface and a downstream surface and a plurality of first gas passage sections disposed in the top diffuser plate. Each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate. Each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleable with a fluid supply conduit of a heat exchanger. Each fluid channel Is connected to a return channel disposed in the top diffuser plate. The return channel having a return outlet is configured to be coupleable with a fluid return conduit of the heat exchanger. A bottom diffuser plate coupled to the top diffuser plate. The bottom diffuser plate has an upstream surface and a downstream surface.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings it is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.
[0009] Figure 1 is a schematic cross-sectional view of one embodiment of a plasma enhanced chemical vapor deposition system according to an embodiment.
[0010] Figure 2A is a partial, schematic, cross-sectional view and Figure 2B is a cross-sectional, bottom view of an exemplary diffuser according to an embodiment.
[0011] Figure 2C is a negative, bottom perspective view of a top diffuser plate according to an embodiment.
[0012] Figure 2D is an enlarged, negative section of a top diffuser plate according to an embodiment.
[0013] Figure 2E is a negative, bottom perspective view of a top diffuser plate according to an embodiment. [0014] Figure 2F is an enlarged, negative section of a top diffuser plate according to an embodiment.
[001 S] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures it is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0016] Embodiments described herein provide gas distribution assemblies that improve the uniformity of deposited films or films to be etched. Each of the gas distribution assemblies includes one of unidirectional and bidirectional flow of fluid through a diffuser such that excess heat is removed and/or heat is provided to the diffuser to maintain the predetermined diffuser temperature. Maintaining the diffuser 105 at the predetermined diffuser temperature independent of an intensity of the plasma during processing and heat radiated from a substrate support results in a deposited film or etched film with improved uniformity.
[0017] Figure 1 is a schematic cross-sectional view of one embodiment of a plasma enhanced chemical vapor deposition (PECVD) chamber 100, available from Applied Materials, Inc. located in Santa Clara, Calif it is to be understood that the system described below is an exemplary chamber and other chamber s, including chamber s from other manufacturers, may be used with or modified to accomplish aspects of the present disclosure. The chamber 100 includes a chamber body 102, a substrate support assembly 104, and a gas distribution assembly 106. The gas distribution assembly 106 positioned opposite the substrate support assembly 104 and defining a process volume 108 therebetween.
[0018] The substrate support assembly 104 is at least partially disposed within the chamber body 102. The substrate support assembly 104 supports the substrate 1 10 during processing. The substrate support assembly 104 includes a substrate support 1 12. The substrate support 1 12 has a lower surface 1 14 for mounting a stem 1 18 and an upper surface 1 16 for supporting the substrate 1 10. The stem 1 18 couples the substrate support assembly 104 to a lift system 120 that moves the substrate support assembly 104 between a processing position (as shown) and a transfer position that facilitates substrate transfer to and from the chamber 100 though a slit valve 122 of the chamber body 102.
[0019] In one embodiment, which can be combined with other embodiments described herein, the resistive elements (not shown) disposed in the substrate support are coupled to a supply, such as a power source, that controllabiy heats the substrate support 1 12. In another embodiment, which can be combined with other embodiments described herein, at least one fluid channel (not shown) connected to a heat exchanger 124 is connected to the least one fluid channel via a support supply conduit 126 connected to an inlet of the at least one fluid channel and via a support return conduit 128 connected to an outlet of the at least one fluid channel. The heat exchanger 124 circulates fluid though the substrate support 1 12 such that excess heat is removed and/or heat is provided to the substrate support 1 12 to maintain a predetermined support temperature. The predetermined support temperature can be set to a temperature based on process parameters such that a uniform temperature distribution of the substrate 1 10 is maintained independent of the intensity of the plasma during processing. The fluid may include a material that can maintain a temperature of about 50 degrees Celsius to about 450 degrees Celsius.
[0020] The gas distribution assembly 106 includes a diffuser 105 suspended from a backing plate 103 by a hanger plate 107. A plurality of gas passages 109 are formed through the diffuser 105 to allow a uniform predetermined distribution of gas to pass through the diffuser 105 and into the process volume 108. The hanger plate 107 maintains the diffuser 105 and the backing plate 103 in a spaced-apart relation, thus defining a plenum 1 1 1 therebetween. The backing plate 103 includes a gas inlet passage 1 13 coupled to a manifold 1 15 coup!eable to one or more gas sources 1 17. The plenum 1 1 1 allows gases to be provided uniformly above the diffuser 105 and flow with a uniform distribution through the plurality of gas passages 109 across the width of the gas distribution assembly 106 so that gas is flowed uniformly in the process volume 108.
[0021] The gas distribution assembly 106 is coupled to a radio frequency (RF) power source 1 19, which is used to generate the plasma for processing of the substrate 1 10. The substrate support assembly 104 is generally is grounded such that RF power is supplied by the RF power source 1 19 to the gas distribution assembly 108 to provide a capacitive coupling between the diffuser 105 and the substrate support 1 12. When RF power is supplied to the diffuser 105, an electric filed is generated between the diffuser 105 and substrate support 1 12 such that atoms of gases present in the process volume 108 between substrate support 1 12 and the diffuser 105 are ionized and release electrons. During processing, heat generated from the intensify of the plasma and heat from the substrate support 1 12 radiated to the diffuser 105 may be non-uniform resulting in hot and cold zones across the diffuser 105. The gas distribution assembly 106 includes a system 101 to maintain a predetermined diffuser temperature that is uniform across the diffuser 105 independent of the intensity of the plasma during processing and heat radiated from the substrate support 1 12. Maintaining the diffuser 105 at the predetermined diffuser temperature independent of the intensity of the plasma during processing and heat radiated from the substrate support 1 12 results in a deposited film or etched film with improved uniformity.
[0022] The system 101 includes at least a plurality of fluid channels 121. Each of the fluid channels 121 has a channel inlet (shown in Figures 2C-2F) coupled to at least one of a supply channel (shown in Figures 2C-2F) and a supply bypass channel (shown in Figures 2E and 2F). Each of the fluid channels 121 has a channel outlet (shown in Figures 2C-2F) coupled to at least one of return channel (shown in Figures 2C-2F) and a return bypass channel (shown in Figures 2E and 2F). A heat exchanger 123 is connected to the supply channel via a fluid supply conduit 125 connected to an inlet (shown in Figures 2C and 2E) of the supply channel. The heat exchanger 123 is connected to the return channel via a fluid return conduit 127 connected to an outlet (shown in Figures 2C and 2E) of the return channel. The heat exchanger 123 circulates fluid though the fluid channels 121 such that excess heat is removed and/or heat is provided to the diffuser 105 to maintain the predetermined diffuser temperature. The predetermined diffuser temperature can be set to a temperature based on process parameters such that a uniform temperature distribution of the diffuser 105 independent of the intensity of the plasma during processing and heat radiated from the substrate support 1 12. The fluid may include a material that can maintain a temperature of about 50 degrees Celsius to about 450 degrees Celsius. [0023] A controller 130 is coupled to the chamber 100 and configured to control aspects of the chamber 100 during processing. The controller 130 may include a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown). The CPU may be one of any form of computer processors that are used in industrial settings for controlling various processes and hardware (e.g., motors and other hardware) and monitor the processes (e.g., flow rates of the fluid). The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the GPU for supporting the processor in a conventional manner. The support circuits may include conventional cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. A program (or computer instructions) readable by the controller 130 determines which tasks are performable by the chamber 100. The program may be software readable by the controller 130 and may include instructions to monitor and control, for example the predetermined diffuser temperature of the diffuser 105.
[0024] Figure 2A is a partial, schematic, cross-sectional view and Figure 2B is a cross-sectional, bottom view of an exemplary diffuser 105. The diffuser 105 is configured with a thickness 242 that maintains sufficient flatness across the hanger plate 107 as not to adversely affect substrate processing. In one embodiment, which can be combined with other embodiments described herein, the thickness 242 of the diffuser 105 is between about 0.8 inches (inch) to about 2.0 inch. The diffuser 105 may be circular for semiconductor wafer manufacturing or polygonal, such as rectangular, for flat panel display manufacturing
[0025] The diffuser 105 comprises of a top diffuser plate 202 that includes a upstream surface 206 facing the backing plate 103 and a downstream surface 208. In one embodiment, which can be combined with other embodiments described herein, the downstream surface 208 of the diffuser 105 at least one of is casted, brazed, forged, hot iso-staticaily pressed and sintered to a upstream surface 210 of a bottom diffuser plate 204 that includes downstream surface 212 that faces the substrate support 1 12. The top diffuser plate 202 has a thickness 244 and the bottom diffuser plate 204 has a thickness 246. Each gas passage 109 includes a first gas passage section 248 in the top diffuser plate 202 and a second passage section 250 in the bottom diffuser plate 204. In one embodiment, which can be combined with other embodiments described herein, each of the fluid channels 121 adjacent to an orifice hole 216 is a distance 240 from the orifice hole 216. In another embodiment, which can be combined with other embodiments described herein, each of the fluid channels 121 has a width 238 and a height 236. In another embodiment, which can be combined with other embodiments described herein, each of the fluid channels 121 is U-shaped or V-shaped
[0026] As shown in Figure 2C, a negative, bottom perspective view of the top diffuser plate 202 of the exemplary diffuser 105, and Figure 2D, an enlarged, negative section of the top diffuser plate 202, each of the fluid channels 121 is disposed adjacent to at least one first gas passage section 248. The fluid channels 121 are formed on the downstream surface 208 of the top diffuser plate 202. Each first gas passage section 248 is disposed in the top diffuser plate 202. As shown in Figure 2A, each first gas passage section 248 is defined by a first bore 214 coupled to an orifice hole 216 and each second passage section 250 is defined in the bottom diffuser plate 204 by a second bore 218 coupled to the orifice hole 216 in the top diffuser plate 202. in the embodiment shown in Figures 2C and 2D, having a unidirectional flow configuration, each of the fluid channels 121 has a channel inlet 213 coupled to a supply channel 207 and a channel outlet 215 coupled to a return channel 209. The supply channel 207 includes an inlet 203 to be connected to the heat exchanger 123 via the fluid supply conduit 125, and an outlet 205 to be connected to the heat exchanger 123 via the fluid return conduit 127. The heat exchanger 123 circulates fluid through the fluid channels 121 in the unidirectional flow to maintain the diffuser 105 at the predetermined diffuser temperature. In one embodiment, which can be combined with other embodiments described herein, the system 101 includes a plurality of thermocouples 251 coupled to the controller 130 to determine the temperature of the diffuser 105. The controller 130 coupled to the thermocouples 251 and the heat exchanger 123 is operable to monitor and control the circulation and temperature of the fluid entering the supply channel 207.
[0027] The first bore 214, orifice hole 216, and second bore 218 combined form a path through the diffuser 105. The first bore 214 extends a first length 230 from the upstream surface 206 of the top diffuser plate 202 to a bottom 220. The bottom 220 of the first bore 214 may be tapered, beveled, chamfered or rounded to minimize the flow restriction as gases flow from the first bore 214 into the orifice hole 216. The first bore 214 generally has a diameter of about 0.093 inch to about 0 218 inch, and in one embodiment is about 0.156 inch.
[0028] The second bore 218 is formed in the bottom diffuser plate 204 and extends from the downstream surface 212 to a third length 234 of about 0.10 inch to about 2.0 inch. Preferably, the third length 234 is between about 0.1 inch to about 10 inch. The diameter 226 of the second bore 218 is generally about 0.1 inch to about 1.0 inch and may be flared at an angle 224 of about 10 degrees to about 50 degrees. Preferably, the diameter 226 is between about 0.1 inch to about 0.5 inch and the angle 224 is between 20 degrees to about 40 degrees. The diameter 226 of second bore 218 refers to the diameter intersecting the downstream surface 212. The surface area of the second bore 218 is between about 0.05 inch2 to about 10 inch2 and preferably between about 0 05 inch2 to about 5 inch2. 214
[0029] An example of diffuser 105 used to process 1500 m by 1850 mm substrates, has second bores 218 at a diameter 226 of 0.250 inch and a angle 224 of about 22 degrees. The distances 228 between rims 252 of adjacent second bores 218 are between about 0 inch to about 0.6 inch, preferably between about 0 inch to about 0.4 inch. The diameter 254 of the first bore 214 is usually, but not limited to, being at least equal to or smaller than the diameter 226 of the second bore 218. A bottom 222 of the second bore 218 may be tapered, beveled, chamfered or rounded to minimize the pressure loss of gases flowing out from the orifice hole 216 and into the second bore 218.
[0030] The orifice hole 216 generally couples the bottom 220 of the first bore 214 and the bottom 222 of the second bore 218. The orifice hole 216 generally has a diameter of about 0 01 inch to about 0 3 inch, preferably about 0.01 inch to about 0.1 inch, and typically has a second length 232 of about 0.02 inch to about 1.0 inch, preferably about 0.02 inch to about 0.5 inch. The second length 232 and diameter (or other geometric attributes) of the orifice hole 216 are the primary source of back pressure in the plenum 1 1 1 which promotes even distribution of gas across the upstream surface 206 of the top diffuser plate 202. The orifice hole 216 is typically configured uniformly among the plurality of gas passages 109; however, the restriction through the orifice hole 218 may be configured differently among the plurality of gas passages 109 to promote more gas flow through one area of the diffuser 105 relative to another area. For example, the orifice hole 216 may have a larger diameter and/or a shorter second length 232 in those gas passages 109, of the diffuser 105, closer to the walls of the chamber body 102 so that more gas flows through the edges of the diffuser 105 to increase the deposition rate at the perimeter of the substrate 1 10. The thickness of the diffuser plate is between about 0.8 inch to about 3.0 inch, preferably between about 0.8 inch to about 2.0 inch.
[0031] As shown in Figure 2E, a negative, bottom perspective view and Figure 2F, an enlarged, negative section of the top diffuser plate 202 having the bidirectional flow configuration. The bidirectional flow configuration includes a first portion of the plurality of fluid channels 121 having channel inlets 21 1 coupled to the supply channel 207 and channel outlets 249 coupled to the return channel 209. The bidirectional flow configuration includes a second portion of the plurality of fluid channels 121 having channel inlets 21 1 coupled to a supply bypass channel 217 and channel outlets 249 coupled to a return bypass channel 219. The supply bypass channel 217 is coupled to the supply channel 207 by supply transfer channels 221 . The return bypass channel 219 is coupled to the return channel 209 by return transfer channels 223. The fluid channels 121 of the first portion and second portion alternate for the bidirectional flow of fluid. The heat exchanger 123 circulates fluid though the supply channel 207, the first portion of the plurality of fluid channels 121 , and the return channel 209, and through the supply bypass channel 217, the second portion of the plurality of fluid channels 121 , and through the return bypass channel 219 to maintain the diffuser 105 at the predetermined diffuser temperature
[0032] In summation, gas distribution assemblies that improve the uniformity of deposited films or films to be etched are described herein. Each of the gas distribution assemblies includes one of unidirectional and bidirectional flow of fluid through a diffuser such that excess heat is removed and/or heat is provided to the diffuser to maintain the predetermined diffuser temperature. Maintaining the diffuser at the predetermined diffuser temperature independent of an intensity of the plasma during processing and heat radiated from a substrate support results in a deposited film or etched film with improved uniformity.
[0033] While the foregoing is directed to examples of the present disclosure, other and further examples of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What s claimed is:
1. A diffuser, comprising:
a top diffuser plate having an upstream surface and a downstream surface; a plurality of first gas passage sections disposed in the top diffuser plate, each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate, wherein:
each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupieable with a fluid supply conduit of a heat exchanger; and
each fluid channel Is connected to a return channel disposed in the top diffuser plate, the return channel having a return outlet configured to be coupieable with a fluid return conduit of the heat exchanger; and
a bottom diffuser plate coupled to the top diffuser plate, the bottom diffuser plate having an upstream surface and a downstream surface
2. The diffuser of claim 1 , wherein each first gas passage section comprises a first bore coupled to an orifice hole, and wherein each second gas passage section comprises a second bore coupled to the orifice hole of the top diffuser plate.
3. The diffuser of claim 2, wherein each orifice hole is adjacent to at least one fluid channel disposed in the top diffuser plate.
4. The diffuser of claim 3, wherein each fluid channel adjacent to the orifice hole is a first distance from the orifice hole.
5. The diffuser of claim 1 , wherein the heat exchanger when coupled with the supply channel and the return channel is operable to circulate fluid from the fluid supply conduit through the supply channel, each fluid channel, the return channel, and to the heat exchanger though the fluid return conduit.
6. The diffuser of claim 5, wherein a controller coupled to the heat exchanger is operable to control the circulation of the fluid to maintain a predetermined diffuser temperature.
7. The diffuser of claim 6, wherein thermocouples disposed in the top diffuser plate are coupled to the controller.
8. The diffuser of claim 1 , wherein the downstream surface of the top diffuser plate is at least one of casted, brazed, forged, hot iso-stafically pressed, and sintered to the upstream surface of the bottom diffuser plate.
9. The diffuser claim 1 , wherein the diffuser is disposable in a processing chamber opposite a substrate support disposed in the processing chamber.
10. A diffuser, comprising:
a top diffuser plate having an upstream surface and a downstream surface; a plurality of first gas passage sections disposed in the top diffuser plate, each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate, wherein:
each fluid channel is connected to one of a supply channel and a supply bypass channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleabie with a fluid supply conduit of a heat exchanger, the supply bypass channel in fluid communication with the supply channel; and
each fluid channel is connected to one of a return channel and a return bypass channel disposed in the top diffuser plate, the return channel having a return outlet configured to be coupleabie with a fluid return conduit of the heat exchanger, the return bypass channel in fluid communication with the return channel; and
a bottom diffuser plate coupled to the top diffuser plate, the bottom diffuser plate having an upstream surface and a downstream surface.
1 1 . A chamber, comprising:
a support assembly; and
a radio frequency (RF) power source coupled to a diffuser, the diffuser disposed opposite the support assembly, the diffuser comprising: a top diffuser plate having an upstream surface and a downstream surface;
a plurality of first gas passage sections disposed in the top diffuser plate, each first gas passage is adjacent to at least one fluid channel disposed in the top diffuser plate, wherein:
each fluid channel is connected to a supply channel disposed in the top diffuser plate, the supply channel having a supply inlet configured to be coupleable with a fluid supply conduit of a heat exchanger; and
each fluid channel is connected to a return channel disposed in the top diffuser plate, the return channel having a return outlet configured to be coupleable with a fluid return conduit of the heat exchanger; and
a bottom diffuser plate coupled to the top diffuser plate, the bottom diffuser plate having an upstream surface and a downstream surface
12. The chamber of claim 1 1 , wherein the heat exchanger when coupled with the supply channel and the return channel is operable to circulate fluid from the fluid supply conduit through the supply channel, each fluid channel, the return channel, and to the heat exchanger though the fluid return conduit.
13. The chamber of claim 12, wherein a controller coupled to the heat exchanger is operable to control the circulation of the fluid to maintain a predetermined diffuser temperature.
14. The chamber of claim 13, wherein thermocouples disposed in the top diffuser plate are coupled to the controller.
15. The chamber of claim 1 1 , wherein the downstream surface of the top diffuser plate is at least one of casted, brazed, forged, hot iso-statical ly pressed, and sintered to the upstream surface of the bottom diffuser plate.
PCT/US2019/035932 2018-06-08 2019-06-07 Temperature controlled gas diffuser for flat panel process equipment WO2019236937A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020217000441A KR102572740B1 (en) 2018-06-08 2019-06-07 Temperature Controlled Gas Diffusers for Flat Panel Process Equipment
CN201980039055.4A CN112262228A (en) 2018-06-08 2019-06-07 Temperature controlled gas diffuser for flat panel processing apparatus
JP2020567203A JP7164632B2 (en) 2018-06-08 2019-06-07 Temperature controlled gas diffuser for flat panel process equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862682370P 2018-06-08 2018-06-08
US62/682,370 2018-06-08

Publications (1)

Publication Number Publication Date
WO2019236937A1 true WO2019236937A1 (en) 2019-12-12

Family

ID=68770667

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/035932 WO2019236937A1 (en) 2018-06-08 2019-06-07 Temperature controlled gas diffuser for flat panel process equipment

Country Status (4)

Country Link
JP (1) JP7164632B2 (en)
KR (1) KR102572740B1 (en)
CN (1) CN112262228A (en)
WO (1) WO2019236937A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023064128A1 (en) * 2021-10-12 2023-04-20 Applied Materials, Inc. Deposition chamber system diffusers with embedded thermocouple regions

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1150330A2 (en) * 2000-04-26 2001-10-31 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20080020146A1 (en) * 2004-05-12 2008-01-24 Choi Soo Y Diffuser plate with slit valve compensation
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20110256645A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US20120111272A1 (en) * 2009-04-28 2012-05-10 Applied Materials, Inc. Mocvd single chamber split process for led manufacturing

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1150330A2 (en) * 2000-04-26 2001-10-31 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20080020146A1 (en) * 2004-05-12 2008-01-24 Choi Soo Y Diffuser plate with slit valve compensation
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20120111272A1 (en) * 2009-04-28 2012-05-10 Applied Materials, Inc. Mocvd single chamber split process for led manufacturing
US20110256645A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023064128A1 (en) * 2021-10-12 2023-04-20 Applied Materials, Inc. Deposition chamber system diffusers with embedded thermocouple regions

Also Published As

Publication number Publication date
JP2021525963A (en) 2021-09-27
KR102572740B1 (en) 2023-08-29
JP7164632B2 (en) 2022-11-01
KR20210006019A (en) 2021-01-15
CN112262228A (en) 2021-01-22

Similar Documents

Publication Publication Date Title
CN110088885B (en) Thermally controlled integrated showerhead delivering radicals and precursor gases to downstream chamber for remote plasma film deposition
TWI698902B (en) Gas-phase reactor and system for cross-flow reaction
CN1754008B (en) Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
TWI523973B (en) Temperature controlled showerhead for high temperature operations
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
TW201718928A (en) Susceptor and substrate processing apparatus
JP2002510876A (en) Direct temperature control of substrate processing chamber components
JP2007525021A (en) Gas distribution shower head featuring exhaust aperture
TWI674331B (en) Inject insert for epi chamber
KR101039085B1 (en) Plasma processing apparatus and plasma processing method
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
JP2000294538A (en) Vacuum treatment apparatus
TW202136569A (en) High density plasma enhanced chemical vapor deposition chamber
WO2019236937A1 (en) Temperature controlled gas diffuser for flat panel process equipment
KR20120082369A (en) Substrate processing apparatus
TW202114040A (en) Placing table and substrate processing apparatus
TW202034446A (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
TWI817102B (en) Faceplate with localized flow control
WO2019236856A1 (en) Temperature controlled susceptor for flat panel process equipment
TW202114024A (en) Placing table and substrate processing apparatus
JP2002009049A (en) Plasma processing method and plasma processor using the same
TW202030769A (en) Substrate processing apparatus
WO2023277923A1 (en) High temperature susceptor for high power rf applications
TW202105650A (en) Substrate processing device
TW202340520A (en) Showerhead faceplate configurations

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19814772

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020567203

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217000441

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19814772

Country of ref document: EP

Kind code of ref document: A1