WO2023277923A1 - High temperature susceptor for high power rf applications - Google Patents

High temperature susceptor for high power rf applications Download PDF

Info

Publication number
WO2023277923A1
WO2023277923A1 PCT/US2021/040298 US2021040298W WO2023277923A1 WO 2023277923 A1 WO2023277923 A1 WO 2023277923A1 US 2021040298 W US2021040298 W US 2021040298W WO 2023277923 A1 WO2023277923 A1 WO 2023277923A1
Authority
WO
WIPO (PCT)
Prior art keywords
cooling gas
cooling
substrate
substrate support
gas
Prior art date
Application number
PCT/US2021/040298
Other languages
French (fr)
Inventor
Jun Ma
Jianhua Zhou
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020247003486A priority Critical patent/KR20240023670A/en
Priority to PCT/US2021/040298 priority patent/WO2023277923A1/en
Priority to TW111120545A priority patent/TW202308028A/en
Publication of WO2023277923A1 publication Critical patent/WO2023277923A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Definitions

  • Embodiments of the present disclosure generally relate to process chambers, such as plasma-enhanced chemical vapor deposition (PECVD) chambers. More particularly, embodiments of the present disclosure relate to a substrate support assembly and methods for controlling the temperature of a substrate support.
  • PECVD plasma-enhanced chemical vapor deposition
  • PECVD Plasma enhanced chemical vapor deposition
  • Plasma etching is generally employed to etch a film disposed on a substrate.
  • PECVD and plasma etching are accomplished by introducing one or more gases into a process volume of a process chamber that contains a substrate.
  • the precursor gas or gas mixture is typically directed downwardly through a diffuser situated near the top of the chamber.
  • the diffuser is placed above a substrate that is positioned on a heated substrate support at a small distance such that the diffuser and the precursor gas or gas mixture are heated by the radiated heat from the substrate support.
  • the substrate support is heated to a predetermined temperature to heat the substrate to a desired temperature range.
  • the precursor gas or gas mixture in the chamber is energized (e.g., excited) into a plasma by applying radio frequency (RF) power to the chamber from one or more RF sources coupled to the chamber.
  • RF radio frequency
  • An electric field is generated in the process volume such that atoms of a mixture of the one or more gases present in the process volume are ionized and release electrons.
  • the ionized atoms accelerated to the substrate support in PECVD facilitate deposition of a film on the substrate.
  • the ionized atoms accelerated to the substrate support in plasma etching facilitate etching of a film disposed on the substrate.
  • Substrate supports having resistive heating elements embedded therein are utilized to heat substrates relatively large in size, particularly as compared to substrate supports utilized for 200 mm and 300 mm semiconductor wafer processing, to a desired temperature range.
  • the temperature of a resistively heated substrate support increases and the temperature distribution of the resistively heated substrate support becomes non-uniform resulting in a temperature of the substrate outside of the desired temperature range and a non-uniform temperature distribution of the substrate.
  • the substrate support needs to remove the heat dissipated from the plasma to prevent overheating of the substrate.
  • the temperature of the substrate outside of the desired temperature range and a non-uniform temperature distribution of the substrate results in a film deposited with a non-uniform thickness.
  • a substrate support assembly includes an electrostatic chuck (ESC) having an upper surface and a top plate coupled to the ESC.
  • the top plate includes a temperature control system.
  • the temperature control system includes one or more resistive heaters disposed in the top plate and operable to be coupled to a heater power source, a cooling channel disposed through the top plate, and a cooling gas source operable to be connected with the cooling channel.
  • the cooling gas source is operable to flow a cooling gas through the cooling channel.
  • the substrate support assembly further includes a plurality of gas channels disposed through the top plate and open to the upper surface of the ESC and a bottom plate coupled to the top plate.
  • the bottom plate includes a thermally conductive gas distribution system.
  • the thermally conductive gas distribution system includes a distribution channel defined by the bottom plate and a bottom surface of the top plate and a thermally conductive gas source operable to be connected with the distribution channel.
  • the thermally conductive gas source is operable to flow a thermally conductive gas through the distribution channel and the plurality of gas channels.
  • the substrate support assembly further includes a controller operable to be connected with the cooling gas source. The controller is operable to monitor and control the heater power source, the cooling gas source, and the thermally conductive gas source such that a predetermined support temperature is maintained.
  • a method is provided. The method includes heating a substrate disposed on an upper surface of a substrate support to a predetermined support temperature.
  • the substrate is heated with one or more resistive heaters disposed in the substrate support.
  • the method further includes flowing a cooling gas through a cooling channel disposed through the substrate support.
  • a cooling gas source flows the cooling gas from a conduit inlet to a conduit outlet.
  • the method further includes monitoring a flow rate of the cooling gas with a controller coupled to the cooling gas source. The controller instructs the cooling gas source to increase or decrease the flow rate of the cooling gas to maintain the predetermined support temperature.
  • a method in yet another embodiment, includes disposing a substrate on an upper surface of a substrate support.
  • the substrate support is disposed in a chamber body of a processing system.
  • the substrate support includes an electrostatic chuck (ESC), a top plate coupled to the ESC, and a bottom plate coupled to the top plate.
  • the method further includes heating the substrate to a predetermined support temperature with one or more resistive heaters disposed in the top plate.
  • the method further includes processing the substrate within the chamber body by flowing a cooling gas from a cooling gas source through a cooling channel disposed through the top plate.
  • the cooling gas source is operable to flow the cooling gas through the cooling channel to maintain the substrate support at the predetermined support temperature.
  • Figure 1 is a schematic cross-sectional view of a processing system having a substrate support assembly according to embodiments.
  • Figure 2 is a schematic, cross-sectional of a substrate support assembly according to embodiments.
  • Figure 3 is a schematic, top view of a cooling channel of a top plate of a substrate support according to embodiments.
  • Figure 4 is a flow diagram of a method for controlling a temperature of a substrate support according to embodiments.
  • Embodiments described herein provide for a substrate support assembly and methods for controlling the temperature of a substrate support.
  • the method includes heating a substrate disposed on an upper surface of a substrate support to a predetermined support temperature.
  • the substrate is heated with one or more resistive heaters disposed in the substrate support.
  • the method further includes flowing a cooling gas through a cooling channel disposed through the substrate support.
  • a cooling gas source flows the cooling gas from a conduit inlet to a conduit outlet.
  • the method further includes monitoring a flow rate of the cooling gas with a controller coupled to the cooling gas source. The controller instructs the cooling gas source to increase or decrease the flow rate of the cooling gas to maintain the predetermined support temperature.
  • the substrate support assembly includes an electrostatic chuck (ESC) having an upper surface and a top plate coupled to the ESC.
  • the top plate includes a temperature control system.
  • the temperature control system includes one or more resistive heaters disposed in the top plate and operable to be coupled to a heater power source, a cooling channel disposed through the top plate, and a cooling gas source operable to be connected with the cooling channel.
  • the cooling gas source is operable to flow a cooling gas through the cooling channel.
  • the substrate support assembly further includes a plurality of gas channels disposed through the top plate and open to the upper surface of the ESC and a bottom plate coupled to the top plate.
  • the bottom plate includes a thermally conductive gas distribution system.
  • the thermally conductive gas distribution system includes a distribution channel defined by the bottom plate and a bottom surface of the top plate and a thermally conductive gas source operable to be connected with the distribution channel.
  • the thermally conductive gas source is operable to flow a thermally conductive gas through the distribution channel and the plurality of gas channels.
  • the substrate support assembly further includes a controller operable to be connected with the cooling gas source. The controller is operable to monitor and control the heater power source, the cooling gas source, and the thermally conductive gas source such that a predetermined support temperature is maintained.
  • the substrate support assembly provides for a unidirectional flow of cooling gas through a top plate of a substrate support such that excess heat is removed from the substrate support to maintain a predetermined support temperature.
  • the predetermined support temperature is set to a temperature based on process parameters such that a uniform temperature distribution of a substrate is maintained independent of the intensity of the plasma during processing.
  • the uniform temperature distribution results in a deposited film with improved uniformity of film thickness or an etched film with improved uniformity.
  • FIG. 1 is a schematic cross-sectional view of a processing system 100, shown configured as a deposition chamber, having a substrate support assembly 104.
  • the substrate support assembly 104 may be utilized in other types of plasma processing chambers, for example plasma treatment chambers, annealing chambers, etch chambers, physical vapor deposition chambers, chemical vapor deposition chambers, and ion implantation chambers, among others, as well as other systems where the ability to maintain a predetermined support temperature of a substrate support.
  • the predetermined support temperature is between about 50 degrees Celsius and about 350 degrees Celsius.
  • the processing system 100 described below is an exemplary system and other systems, including systems from other manufacturers, may be used with or modified to accomplish aspects of the present disclosure.
  • the processing system 100 includes a chamber body 102, the substrate support assembly 104, and a gas distribution assembly 106.
  • the gas distribution assembly 106 is positioned opposite the substrate support assembly 104 and defines a process volume 108 therebetween.
  • the gas distribution assembly 106 is configured to distribute gases, such as precursor gases, uniformly into the process volume 108 of the processing system 100 to facilitate deposition of a film onto, or etching of a film from, a substrate 110 positioned on a substrate support 112 of the substrate support assembly 104.
  • the gas distribution assembly 106 includes a diffuser plate 105 suspended from a backing plate 103. A plurality of gas passages (not shown) are formed through the diffuser plate 105 to allow a uniform predetermined distribution of gas to pass through the gas distribution assembly 106 and into the process volume 108.
  • the backing plate 103 maintains the diffuser plate 105 in a spaced-apart relation from a bottom surface 115 of the backing plate 103, thus defining a plenum 113 therebetween.
  • the backing plate 103 includes a gas inlet passage 107 coupled to a manifold 109 coupleable to one or more gas sources 111.
  • the plenum 113 allows gas flowing through the gas inlet passage 107 to uniformly distribute across the width of the diffuser plate 105 so that gas flows with a uniform distribution through the gas passages of the diffuser plate 105.
  • a heat exchanger 117 is in fluid communication with a fluid channel (not shown) of the diffuser plate 105.
  • the heat exchanger 117 is in fluid communication with the fluid channel via a fluid outlet conduit 119 and a fluid inlet conduit 123.
  • the fluid outlet conduit 119 is connected to an inlet 121 of the diffuser fluid channel and the fluid inlet conduit 123 is connected to an outlet 125 of the fluid channel such that excess heat is removed and/or heat is provided to the diffuser plate
  • the 105 to maintain a predetermined diffuser temperature.
  • the predetermined diffuser temperature can be set to a temperature based on process parameters.
  • the fluid may include a material that can maintain a temperature of about 50 degrees Celsius to about 350 degrees Celsius.
  • the gas distribution assembly 106 is coupled to a radio frequency (RF) power source 127, which is used to generate the plasma for processing of the substrate 110.
  • RF radio frequency
  • the substrate support assembly 104 is generally grounded such that RF power is supplied by the RF power source 127 to the gas distribution assembly
  • the substrate support assembly 104 is at least partially disposed within the chamber body 102.
  • the substrate support assembly 104 supports the substrate 110 during processing.
  • the substrate support assembly 104 includes a substrate support 112.
  • the substrate support 112 has a lower surface 114 for mounting a stem 118 and an upper surface 116 for supporting the substrate 110.
  • the stem 118 couples the substrate support assembly 104 to a lift system (not shown) that moves the substrate support assembly 104 between a processing position (as shown) and a transfer position that facilitates substrate transfer to and from the processing system 100 though a slit valve 129 of the chamber body 102.
  • the stem 118 has a passage 120 for a cooling gas supply conduit 218 (shown in Figure 2), a cooling gas return conduit 220 (shown in Figure 2), and a thermally conductive gas passage 226 (shown in Figure 2) of the substrate support assembly 104.
  • the substrate support assembly 104 further includes a cooling gas source 132 operable to flow a cooling gas to the cooling gas supply conduit 218 (shown in Figure 2) and the cooling gas return conduit 220 (shown in Figure 2) disposed through the stem 118.
  • the cooling gas flowing through the substrate support 112 is operable to maintain the predetermined support temperature.
  • the cooling gas is operable to flow through a heat exchanger 124 to maintain the predetermined support temperature.
  • the cooling gas allows the substrate support 112 to maintain the predetermined support temperature at a lower cost and reduced risk of decomposition due to exceeding temperature limits.
  • a channel width 232 shown in Figure 2
  • a channel height 234 shown in Figure 2
  • a channel length of a cooling channel 222 shown in Figure 2
  • operation conditions of flowing the cooling gas such as, gas properties, inlet temperature, flow rate, and pressure of the cooling gas may be adjusted such that the cooling gas will maintain the predetermined support temperature.
  • the substrate support assembly 104 further includes a thermally conductive gas source 130 operable to flow a thermally conductive gas through the thermally conductive gas passage 226 (shown in Figure 2) to a distribution channel 228 (shown in Figure 2) and a plurality of pockets 210 (shown in Figure 2) in the substrate support 112. Flowing the thermally conductive gas into the substrate support 112 improves the efficiency of heat transfer between the substrate support 112 and the substrate 110, such that a uniform temperature distribution of the substrate 110 is maintained. Additionally, flowing the thermally conductive gas to the plurality of pockets 210 (shown in Figure 2) is operable to provide a uniform pressure between the substrate 110 and the upper surface 116. The uniform pressure between the substrate 110 and the upper surface 116 provides for uniform heat conduction across the substrate 110. Additionally, the uniform pressure applied across the substrate 110 prevents sagging of the substrate 110.
  • a thermally conductive gas source 130 operable to flow a thermally conductive gas through the thermally conductive gas passage 226 (shown in Figure 2) to a distribution
  • the processing system 100 further includes a controller 146.
  • the controller 146 is operably coupled to the processing system 100 and configured to monitor and control aspects of the processing system 100 during processing.
  • the controller 146 may be in communication with the gas distribution assembly 106, the heat exchanger 124, the cooling gas source 132, and/or the thermally conductive gas source 130.
  • the controller 146 is operable to monitor and control the operation conditions of the cooling gas being flowed through the substrate support 112 such that the predetermined support temperature is maintained.
  • the controller 146 is also operable to monitor and control the flow of the thermally conductive gas through the substrate support 112.
  • the controller 146 may include a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown).
  • the CPU may be one of any form of computer processors that are used in industrial settings for controlling various processes and hardware (e.g., motors and other hardware) and monitor the processes (e.g., flow rates of the cooling gas and the thermally conductive gas).
  • the memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include conventional cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a program (or computer instructions) readable by the controller 146 determine which tasks are performable by the processing system 100.
  • the program may be software readable by the controller 146 and may include instructions to monitor and control, for example a predetermined support temperature, retention of the substrate 110, distribution of the cooling gas and the thermally conductive gas, and the heat exchanger 124.
  • FIG. 2 is a schematic, cross-sectional of a substrate support assembly 104.
  • the substrate support assembly 104 includes a substrate support 112 and a stem 118.
  • the substrate support 112 includes a lower surface 114 for mounting the stem 118 and an upper surface 116 for supporting a substrate 110.
  • the substrate support 112 includes a bottom plate 202, a top plate 204, and an electrostatic chuck (ESC) 206.
  • the top plate 204 is at least one of cast, brazed, forged, hot iso-statical ly pressed, and sintered to the bottom plate 202.
  • the top plate 204 is at least one of cast, brazed, forged, hot iso-statically pressed, and sintered to the ESC 206.
  • the substrate support 112 may include, but is not limited to, a titanium or aluminum material, or combinations thereof.
  • the ESC 206 includes the upper surface 116. As shown in Figure 2, the upper surface 116 may include a plurality of raised supports 208 to support the substrate 110. The plurality of raised supports 208, the upper surface 116, and the substrate 110 define a plurality of pockets 210. In one embodiment, which can be combined with other embodiments described herein, the ESC 206 includes a chucking electrode disposed therein.
  • the chucking electrode may be configured as a mono polar or bipolar electrode, or other suitable arrangement. The chucking electrode provides a DC power to electrostatically secure the substrate 110 to the upper surface 116 of the substrate support 112.
  • the ESC 206 is fabricated from a ceramic material, such as alumina (AI2O3), aluminum nitride (AIN) or other suitable material.
  • the ESC 206 may be fabricated from a polymer, such as polyimide, polyetheretherketone, and polyaryletherketone.
  • the substrate support assembly 104 further includes a temperature control system 216.
  • the temperature control system 216 includes one or more resistive heaters 214, a cooling channel 222, a cooling gas supply conduit 218, a cooling gas return conduit 220, and a cooling gas source 132.
  • the temperature control system 216 is operable to maintain a predetermined support temperature of the substrate support 112.
  • the predetermined support temperature directly affects a temperature of the substrate 110 as the substrate 110 is retained by the substrate support 112.
  • the predetermined support temperature is between about 50 degrees Celsius and about 350 degrees Celsius.
  • the one or more resistive heaters 214 are embedded in the top plate 204.
  • the resistive heaters 214 are utilized to elevate the temperature of the ESC 206 to the predetermined support temperature suitable for processing a substrate 110 disposed on the upper surface 116.
  • the resistive heaters 214 are coupled through the top plate 204 to a heater power source 217.
  • the heater power source 217 may provide 500 watts or more of power to the resistive heaters 214.
  • the heater power source 217 may be in communication with a controller 146 to control the operation of the heater power source 217.
  • the resistive heaters 214 include a plurality of laterally separated heating zones, wherein the controller enables at least one zone of the resistive heaters 214 to be preferentially heated relative to the resistive heaters 214 located in one or more of the other zones.
  • the resistive heaters 214 can maintain the predetermined support temperature of about 50 degrees Celsius to about 350 degrees Celsius.
  • the cooling channel 222 is disposed through the top plate 204.
  • the cooling channel 222 includes a channel width 232 and a channel height 234.
  • the channel width 232 and the channel height 234 are between about 0.5 inches and about 2 inches.
  • Figure 2 depicts the cooling channel 222 having a rectangular cross- section, the cooling channel 222 may have any suitable cross-section, such as a circular, square, or triangular cross-section.
  • the cooling channel 222 is fluidly coupled to the cooling gas supply conduit 218 on one end and the cooling gas return conduit 220 on the other end.
  • the cooling gas supply conduit 218 and the cooling gas return conduit 220 are disposed through a passage 120 of the stem 118.
  • the cooling gas supply conduit 218 is in fluid communication with the cooling gas source 132.
  • the cooling gas source 132 is operable to flow a cooling gas.
  • the cooling gas includes, but is not limited to, nitrogen (N2) gas, dry air, or combinations thereof. Therefore, the cooling gas will remove heat without the risk of decomposing, improving the efficiency of the processing system 100.
  • the heat exchanger 124 is connected with the cooling channel 222 via a conduit inlet 236 coupled to the cooling gas supply conduit 218 and via a conduit outlet 238 coupled to the cooling gas return conduit 220.
  • the heat exchanger 124 circulates the cooling gas from the cooling gas source 132 though the cooling channel 222 in a unidirectional flow such that excess heat is removed from the substrate support 112 to maintain the predetermined support temperature.
  • the predetermined support temperature can be set to a temperature based on process parameters such that a uniform temperature distribution of the substrate 110 is maintained independent of the intensity of the plasma during processing. By maintaining the predetermined support temperature, the substrate 110 will not overheat.
  • the temperature control system 216 is in communication with the controller 146.
  • the controller 146 is operable to determine the temperature of the substrate support 112.
  • the controller 146 may be coupled to a thermocouple disposed in the substrate support 112 and the heat exchanger 124.
  • the controller 146 coupled to the thermocouple and the heat exchanger 124 is operable to monitor and control operation conditions of the cooling gas entering the cooling channel 222.
  • the controller 146 is operable to control the flow rate of the cooling gas from the cooling gas source 132 to maintain the predetermined support temperature.
  • the cooling gas source 132 is operable to continuously flow the cooling gas to the cooling channel 222.
  • the cooling gas may exit the cooling channel 222 through the cooling gas return conduit 220 and be exhausted from the processing system 100.
  • the controller 146 coupled to the thermocouple is operable to monitor and control operation conditions of the cooling gas entering the cooling channel 222 from the cooling gas source 132.
  • the controller 146 is operable to control the flow rate of the cooling gas from the cooling gas source 132.
  • the controller 146 is operable to monitor and control the flow of the cooling gas such that the predetermined support temperature is maintained.
  • the substrate support assembly 104 further includes a thermally conductive gas distribution system 224.
  • the thermally conductive gas distribution system 224 includes a thermally conductive gas source 130, a thermally conductive gas passage 226, a distribution channel 228, and a plurality of gas channels 230.
  • the thermally conductive gas distribution system 224 is operable to provide a thermally conductive gas to the plurality of pockets 210.
  • the plurality of gas channels 230 are disposed through the top plate 204 and the ESC 206.
  • the plurality of gas channels 230 are exposed to the upper surface 116 of the substrate support 112.
  • the plurality of gas channels 230 are operable to connect the plurality of pockets 210 to the distribution channel 228.
  • the distribution channel 228 is defined by a bottom surface 212 of the top plate 204 and the bottom plate 202.
  • the cooling channel 222 is disposed between the one or more resistive heaters 214 and the distribution channel 228.
  • the distribution channel 228 allows the thermally conductive gas flowing through the distribution channel 228 to uniformly distribute across the width of the bottom plate 202 such that the thermally conductive gas flows with a uniform distribution through the plurality of gas channels 230.
  • the distribution channel 228 is fluidly coupled to the thermally conductive gas passage 226.
  • the thermally conductive gas passage 226 is coupled to the thermally conductive gas source 130.
  • the thermally conductive gas source 130 is operable to flow the thermally conductive gas.
  • the thermally conductive gas includes, but is not limited to, a helium (He) gas, hydrogen gas (H2), or combinations thereof.
  • a pump (not shown) is connected to the thermally conductive gas passage 226 to control the pressure the thermally conductive gas is delivered to the distribution channel 228.
  • the thermally conductive gas is operable to flow from the thermally conductive gas source 130, through the thermally conductive gas passage 226, to the distribution channel, through the plurality of gas channels 230, and to the plurality of pockets 210.
  • the thermally conductive gas flows to the plurality of pockets 210 such that the thermally conductive gas is disposed between the substrate 110 and the ESC 206.
  • the thermally conductive gas will improve the efficiency of heat transfer between the substrate support 112 and the substrate 110, such that a uniform temperature distribution of the substrate 110 is maintained.
  • the thermally conductive gas in the plurality of pockets 210 provides a uniform pressure between the substrate 110 and the upper surface 116. The uniform pressure between the substrate 110 and the upper surface 116 provides for uniform heat conduction across the substrate 110.
  • the thermally conductive gas distribution system 224 is in communication with the controller 146.
  • the controller 146 is operable to monitor and control the flow of the thermally conductive gas to the plurality of pockets 210 such that the predetermined support temperature is maintained.
  • the controller 146 may control the flow rate and volume of the thermally conductive gas being provided from the thermally conductive gas source 130.
  • the controller 146 is operable to increase the pressure the thermally conductive gas is provided to the plurality of pockets 210 to improve the heat conduction to the substrate 110.
  • FIG 3 is a schematic, top view of a cooling channel 222 of a top plate 204 of a substrate support 112.
  • the top plate 204 has the cooling channel 222 disposed therein.
  • more than one cooling channel 222 is disposed in the top plate 204.
  • the cooling channel 222 includes a cooling channel inlet 302.
  • the cooling channel inlet 302 is fluidly coupled to a cooling gas supply conduit 218 (shown in Figure 2).
  • the cooling channel inlet 302 is operable to receive a cooling gas from a cooling gas source 132 in fluid communication with the cooling gas supply conduit 218.
  • the cooling gas at the cooling channel inlet 302 has an inlet temperature i.e.
  • the cooling channel 222 further includes a cooling channel outlet 304.
  • the cooling channel outlet 304 is fluidly coupled to a cooling gas return conduit 220 (shown in Figure 2).
  • the cooling channel outlet is operable to return the cooling gas after being circulated through the cooling channel 222.
  • the cooling gas at the cooling channel outlet 304 has an outlet temperature i.e. , the temperature of the cooling gas at the cooling channel outlet 304, of between about 50 degrees Celsius and about 350 degrees Celsius.
  • a heat exchanger 124 is in fluid communication with the cooling channel inlet 302 and the cooling channel outlet 304.
  • the heat exchanger 124 circulates the cooling gas though the cooling channel 222 in a unidirectional flow such that excess heat is removed from the substrate support 112 to maintain the predetermined support temperature.
  • the cooling gas flowing through the cooling channel 222 is operable to remove between about 1 kW and about 10 kW of heat.
  • the cooling channel 222 includes a channel width 232.
  • the channel width 232 is between about 0.5 inches and about 2 inches.
  • a channel length defined by the distance of the cooling channel 222 between the cooling channel inlet 302 and the cooling channel outlet 304 is between about 1 meter and about 10 meters.
  • the cooling channel 222 is configured such that the cooling gas flowing through the cooling channel inlet 302 has a lower temperature than the cooling gas flowing through the cooling channel outlet 304.
  • the temperature of portions of the top plate 204 of the substrate support 112 will be approximately the average temperature of the cooling gas in the cooling channel 222 directly surrounding the portions of the top plate 204. Therefore, the temperature of the top plate 204 remains substantially uniform.
  • the cooling channel 222 is not limited to the configuration shown in Figure 3, and may be configured in any pattern such that the temperature of the top plate 204 remains substantially uniform.
  • FIG 4 is a flow diagram of a method 400 for controlling the temperature of the substrate support 112.
  • the method 400 is described with reference to the substrate support 112 of a processing system 100, as shown in Figure 1 and Figure 2.
  • the method 400 may be utilized in other types of plasma processing systems, for example plasma treatment chambers, annealing chambers, etch chambers, physical vapor deposition chambers, chemical vapor deposition chambers, and ion implantation chambers, among others, as well as other systems where the ability to maintain a predetermined support temperature of a substrate support at temperatures between about 50 degrees Celsius and about 350 degrees Celsius is desirable.
  • a controller 146 in communication with the processing system 100 controls and monitors the operations of the method 400 such that a predetermined support temperature is maintained.
  • a substrate 110 is retained on a substrate support 112 of a substrate support assembly 104.
  • the substrate 110 is retained on an electrostatic chuck (ESC) 206 of the substrate support 112.
  • a DC power is provided to a chucking electrode disposed in the ESC 206 to electrostatically secure the substrate 110 to a plurality of raised supports 208 of the upper surface 116.
  • the substrate support 112 is heated to a predetermined support temperature.
  • the predetermined support temperature is between about 50 degrees Celsius and about 350 degrees Celsius.
  • One or more resistive heaters 214 disposed in a top plate 204 of the substrate support 112 heat the substrate support 112 to the predetermined support temperature.
  • a heater power source 217 is instructed by the controller 146 to provide 500 watts or more of power to the resistive heaters 214 such that the predetermined support temperature is reached.
  • the substrate 110 is processed.
  • One example of the process is a plasma-enhanced chemical vapor deposition (PECVD) process.
  • PECVD plasma-enhanced chemical vapor deposition
  • a uniform predetermined distribution of a precursor gas flows through a diffuser plate 105 and into a process volume 108 of the processing system 100.
  • the precursor gas is heated by the radiated heat from the substrate support 112.
  • RF power is supplied to the diffuser plate 105, an electric field is generated between the diffuser plate 105 and substrate support 112 such that atoms of gases present in the process volume 108 between the substrate support 112 and the diffuser plate 105 are energized (e.g., excited) into a plasma.
  • the excited precursor gas reacts to form a film of material on a surface of the substrate 110.
  • heat will be dissipated from the plasma.
  • the substrate 110 is maintained at the predetermined support temperature.
  • the temperature is maintained by flowing a cooling gas into a cooling channel 222 of the substrate support 112.
  • the operation 404 may be performed concurrently with the operation 403 such that the cooling gas maintains the predetermined support temperature in the substrate support 112 during the operation 403.
  • the cooling gas flows to a cooling gas supply conduit 218 from a cooling gas source 132, flows through the cooling gas supply conduit 218 to a cooling channel inlet (shown in Figure 3) of the cooling channel 222.
  • the cooling gas flows through the cooling channel 222 to maintain the predetermined support temperature as heat dissipated by the plasma is transferred to the cooling gas.
  • the cooling gas flows to a cooling gas return conduit 220 via the cooling channel outlet 304.
  • the predetermined support temperature is set to a temperature such that a uniform temperature distribution of the substrate 110 is maintained independent of the intensity of the plasma during processing. By maintaining the predetermined support temperature, the substrate 110 will not overheat.
  • the cooling gas flows through the heat exchanger 124 to maintain the predetermined support temperature.
  • the heat exchanger 124 circulates the cooling gas from the cooling gas source 132 though the cooling channel 222 in a unidirectional flow such that excess heat is removed from the substrate support 112 to maintain the predetermined support temperature.
  • the cooling gas continuously flows from cooling gas source 132 to the cooling channel 222. The cooling gas is exhausted from the processing system 100 when the cooling gas exits the cooling gas return conduit 220.
  • the cooling gas flows through the cooling channel 222 such that the excess heat from the plasma is removed efficiently to prevent overheating of the substrate 110.
  • the cooling gas flows according to operation conditions utilized in the operation 404 that allow for the cooling gas to remove the necessary amount of heat.
  • the cooling gas flowing through the cooling channel 222 is operable to remove between about 1 and about 10 kW of heat.
  • the operation conditions include gas properties of the cooling gas, inlet temperature, flow rate, and pressure. Based on the amount of heat needed to be removed, the operation conditions are determined. The operation conditions may be determined by the controller 146.
  • the gas properties of the cooling gas ensure that the excess heat will be removed and the cooling gas can flow without decomposing.
  • the gas properties of the cooling gas include specific heat (C P ), thermal conductivity (k), and the dynamic viscosity (m).
  • C P specific heat
  • k thermal conductivity
  • m dynamic viscosity
  • the inlet temperature at the cooling channel inlet 302 is between about 20 degrees Celsius and about 100 degrees Celsius.
  • the flow rate of the cooling gas through the cooling channel 222 is between about 500 standard liters per minute (SLM) and about 2000 SLM.
  • the pressure of the cooling gas is between about 1 standard atmosphere and about 3 standard atmospheres.
  • the controller 146 is in communication with the substrate support 112 and the heat exchanger 124.
  • the controller 146 is operable to monitor and control the operation conditions of the cooling gas entering the cooling channel 222.
  • the controller 146 can control the inlet temperature, the flow rate, and the pressure of the cooling gas provided to the cooling channel 222 such that the predetermined support temperature is maintained.
  • the thermally conductive gas may be flowed to the plurality of pockets during the operations 403 and 404 to improve the efficiency of heat transfer between the substrate support 112 and the substrate 110, such that a uniform temperature distribution of the substrate 110 is maintained. Additionally, the thermally conductive gas is flowed to the plurality of pockets 210 in the operations 403 and 404 to provide a uniform pressure between the substrate 110 and the upper surface 116. The uniform pressure between the substrate 110 and the upper surface 116 provides for uniform heat conduction across the substrate 110.
  • the substrate 110 is removed from the substrate support 112.
  • the substrate 110 is removed via a lift-off gas being provided to the substrate 110.
  • the substrate 110 is removed via a transfer robot.
  • the substrate support system includes a unidirectional flow of cooling gas through a cooling channel disposed in a top plate of a substrate support.
  • the cooling gas maintains the predetermined support temperature.
  • the cooling channel is designed to ensure that the cooling gas is flowed efficiently through the substrate support. As compared to the cost of high temperature fluids, the cooling gas allows the substrate support to maintain the predetermined support temperature at a lower cost and reduced risk of decomposition due to exceeding temperature limits.
  • a channel, a channel height, and a channel length of a cooling channel are designed with specific dimensions such that the cooling gas will maintain the predetermined support temperature. Additionally, operation conditions of flowing the cooling gas such as, gas properties, inlet temperature, flow rate, and pressure of the cooling gas may be adjusted such that the cooling gas will maintain the predetermined support temperature.
  • a controller coupled to the substrate support system monitors and controls operation conditions of the cooling gas to ensure that the predetermined support temperature is maintained.

Abstract

Embodiments described herein relate to a substrate support assembly and methods for controlling the temperature of a substrate support. The substrate support system includes a unidirectional flow of cooling gas through a cooling channel disposed in a top plate of a substrate support. The cooling gas allows the substrate support to maintain the predetermined support temperature at a lower cost and reduced risk of decomposition due to exceeding temperature limits. The cooling channel is designed to ensure that the cooling gas is flowed efficiently through the substrate support. Operation conditions of flowing the cooling gas such as, gas properties, inlet temperature, flow rate, and pressure of the cooling gas may be adjusted such that the cooling gas will maintain the predetermined support temperature.

Description

HIGH TEMPERATURE SUSCEPTOR FOR HIGH POWER RF APPLICATIONS
BACKGROUND
Field
[0001] Embodiments of the present disclosure generally relate to process chambers, such as plasma-enhanced chemical vapor deposition (PECVD) chambers. More particularly, embodiments of the present disclosure relate to a substrate support assembly and methods for controlling the temperature of a substrate support.
Description of the Related Art
[0002] Plasma enhanced chemical vapor deposition (PECVD) is generally employed to deposit a film on a substrate, such as a semiconductor wafer. Plasma etching is generally employed to etch a film disposed on a substrate. PECVD and plasma etching are accomplished by introducing one or more gases into a process volume of a process chamber that contains a substrate. The precursor gas or gas mixture is typically directed downwardly through a diffuser situated near the top of the chamber. The diffuser is placed above a substrate that is positioned on a heated substrate support at a small distance such that the diffuser and the precursor gas or gas mixture are heated by the radiated heat from the substrate support. The substrate support is heated to a predetermined temperature to heat the substrate to a desired temperature range. During PECVD and plasma etching, the precursor gas or gas mixture in the chamber is energized (e.g., excited) into a plasma by applying radio frequency (RF) power to the chamber from one or more RF sources coupled to the chamber. An electric field is generated in the process volume such that atoms of a mixture of the one or more gases present in the process volume are ionized and release electrons. The ionized atoms accelerated to the substrate support in PECVD facilitate deposition of a film on the substrate. The ionized atoms accelerated to the substrate support in plasma etching facilitate etching of a film disposed on the substrate.
[0003] Substrate supports having resistive heating elements embedded therein are utilized to heat substrates relatively large in size, particularly as compared to substrate supports utilized for 200 mm and 300 mm semiconductor wafer processing, to a desired temperature range. However, as a result of the intensity of the plasma during processing, the temperature of a resistively heated substrate support increases and the temperature distribution of the resistively heated substrate support becomes non-uniform resulting in a temperature of the substrate outside of the desired temperature range and a non-uniform temperature distribution of the substrate. The substrate support needs to remove the heat dissipated from the plasma to prevent overheating of the substrate. The temperature of the substrate outside of the desired temperature range and a non-uniform temperature distribution of the substrate results in a film deposited with a non-uniform thickness.
[0004] Therefore, there is a need for a substrate support assembly and methods for controlling the temperature of a substrate support.
SUMMARY
[0005] In one embodiment, a substrate support assembly is provided. The substrate support assembly includes an electrostatic chuck (ESC) having an upper surface and a top plate coupled to the ESC. The top plate includes a temperature control system. The temperature control system includes one or more resistive heaters disposed in the top plate and operable to be coupled to a heater power source, a cooling channel disposed through the top plate, and a cooling gas source operable to be connected with the cooling channel. The cooling gas source is operable to flow a cooling gas through the cooling channel. The substrate support assembly further includes a plurality of gas channels disposed through the top plate and open to the upper surface of the ESC and a bottom plate coupled to the top plate. The bottom plate includes a thermally conductive gas distribution system. The thermally conductive gas distribution system includes a distribution channel defined by the bottom plate and a bottom surface of the top plate and a thermally conductive gas source operable to be connected with the distribution channel. The thermally conductive gas source is operable to flow a thermally conductive gas through the distribution channel and the plurality of gas channels. The substrate support assembly further includes a controller operable to be connected with the cooling gas source. The controller is operable to monitor and control the heater power source, the cooling gas source, and the thermally conductive gas source such that a predetermined support temperature is maintained. [0006] In another embodiment, a method is provided. The method includes heating a substrate disposed on an upper surface of a substrate support to a predetermined support temperature. The substrate is heated with one or more resistive heaters disposed in the substrate support. The method further includes flowing a cooling gas through a cooling channel disposed through the substrate support. A cooling gas source flows the cooling gas from a conduit inlet to a conduit outlet. The method further includes monitoring a flow rate of the cooling gas with a controller coupled to the cooling gas source. The controller instructs the cooling gas source to increase or decrease the flow rate of the cooling gas to maintain the predetermined support temperature.
[0007] In yet another embodiment, a method is provided. The method includes disposing a substrate on an upper surface of a substrate support. The substrate support is disposed in a chamber body of a processing system. The substrate support includes an electrostatic chuck (ESC), a top plate coupled to the ESC, and a bottom plate coupled to the top plate. The method further includes heating the substrate to a predetermined support temperature with one or more resistive heaters disposed in the top plate. The method further includes processing the substrate within the chamber body by flowing a cooling gas from a cooling gas source through a cooling channel disposed through the top plate. The cooling gas source is operable to flow the cooling gas through the cooling channel to maintain the substrate support at the predetermined support temperature.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.
[0009] Figure 1 is a schematic cross-sectional view of a processing system having a substrate support assembly according to embodiments. [0010] Figure 2 is a schematic, cross-sectional of a substrate support assembly according to embodiments.
[0011] Figure 3 is a schematic, top view of a cooling channel of a top plate of a substrate support according to embodiments.
[0012] Figure 4 is a flow diagram of a method for controlling a temperature of a substrate support according to embodiments.
[0013] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0014] Embodiments described herein provide for a substrate support assembly and methods for controlling the temperature of a substrate support. The method includes heating a substrate disposed on an upper surface of a substrate support to a predetermined support temperature. The substrate is heated with one or more resistive heaters disposed in the substrate support. The method further includes flowing a cooling gas through a cooling channel disposed through the substrate support. A cooling gas source flows the cooling gas from a conduit inlet to a conduit outlet. The method further includes monitoring a flow rate of the cooling gas with a controller coupled to the cooling gas source. The controller instructs the cooling gas source to increase or decrease the flow rate of the cooling gas to maintain the predetermined support temperature.
[0015] The substrate support assembly includes an electrostatic chuck (ESC) having an upper surface and a top plate coupled to the ESC. The top plate includes a temperature control system. The temperature control system includes one or more resistive heaters disposed in the top plate and operable to be coupled to a heater power source, a cooling channel disposed through the top plate, and a cooling gas source operable to be connected with the cooling channel. The cooling gas source is operable to flow a cooling gas through the cooling channel. The substrate support assembly further includes a plurality of gas channels disposed through the top plate and open to the upper surface of the ESC and a bottom plate coupled to the top plate. The bottom plate includes a thermally conductive gas distribution system. The thermally conductive gas distribution system includes a distribution channel defined by the bottom plate and a bottom surface of the top plate and a thermally conductive gas source operable to be connected with the distribution channel. The thermally conductive gas source is operable to flow a thermally conductive gas through the distribution channel and the plurality of gas channels. The substrate support assembly further includes a controller operable to be connected with the cooling gas source. The controller is operable to monitor and control the heater power source, the cooling gas source, and the thermally conductive gas source such that a predetermined support temperature is maintained.
[0016] The substrate support assembly provides for a unidirectional flow of cooling gas through a top plate of a substrate support such that excess heat is removed from the substrate support to maintain a predetermined support temperature. The predetermined support temperature is set to a temperature based on process parameters such that a uniform temperature distribution of a substrate is maintained independent of the intensity of the plasma during processing. The uniform temperature distribution results in a deposited film with improved uniformity of film thickness or an etched film with improved uniformity.
[0017] Figure 1 is a schematic cross-sectional view of a processing system 100, shown configured as a deposition chamber, having a substrate support assembly 104. The substrate support assembly 104 may be utilized in other types of plasma processing chambers, for example plasma treatment chambers, annealing chambers, etch chambers, physical vapor deposition chambers, chemical vapor deposition chambers, and ion implantation chambers, among others, as well as other systems where the ability to maintain a predetermined support temperature of a substrate support. The predetermined support temperature is between about 50 degrees Celsius and about 350 degrees Celsius. It is to be understood that the processing system 100 described below is an exemplary system and other systems, including systems from other manufacturers, may be used with or modified to accomplish aspects of the present disclosure.
[0018] The processing system 100 includes a chamber body 102, the substrate support assembly 104, and a gas distribution assembly 106. The gas distribution assembly 106 is positioned opposite the substrate support assembly 104 and defines a process volume 108 therebetween.
[0019] The gas distribution assembly 106 is configured to distribute gases, such as precursor gases, uniformly into the process volume 108 of the processing system 100 to facilitate deposition of a film onto, or etching of a film from, a substrate 110 positioned on a substrate support 112 of the substrate support assembly 104. The gas distribution assembly 106 includes a diffuser plate 105 suspended from a backing plate 103. A plurality of gas passages (not shown) are formed through the diffuser plate 105 to allow a uniform predetermined distribution of gas to pass through the gas distribution assembly 106 and into the process volume 108. The backing plate 103 maintains the diffuser plate 105 in a spaced-apart relation from a bottom surface 115 of the backing plate 103, thus defining a plenum 113 therebetween. The backing plate 103 includes a gas inlet passage 107 coupled to a manifold 109 coupleable to one or more gas sources 111. The plenum 113 allows gas flowing through the gas inlet passage 107 to uniformly distribute across the width of the diffuser plate 105 so that gas flows with a uniform distribution through the gas passages of the diffuser plate 105.
[0020] In one embodiment, which can be combined with other embodiments described herein, a heat exchanger 117 is in fluid communication with a fluid channel (not shown) of the diffuser plate 105. The heat exchanger 117 is in fluid communication with the fluid channel via a fluid outlet conduit 119 and a fluid inlet conduit 123. The fluid outlet conduit 119 is connected to an inlet 121 of the diffuser fluid channel and the fluid inlet conduit 123 is connected to an outlet 125 of the fluid channel such that excess heat is removed and/or heat is provided to the diffuser plate
105 to maintain a predetermined diffuser temperature. The predetermined diffuser temperature can be set to a temperature based on process parameters. The fluid may include a material that can maintain a temperature of about 50 degrees Celsius to about 350 degrees Celsius.
[0021] The gas distribution assembly 106 is coupled to a radio frequency (RF) power source 127, which is used to generate the plasma for processing of the substrate 110. The substrate support assembly 104 is generally grounded such that RF power is supplied by the RF power source 127 to the gas distribution assembly
106 to provide a capacitive coupling between the diffuser plate 105 and the substrate support 112. When RF power is supplied to the diffuser plate 105, an electric field is generated between the diffuser plate 105 and substrate support 112 such that atoms of gases present in the process volume 108 between the substrate support 112 and the diffuser plate 105 are ionized and release electrons.
[0022] The substrate support assembly 104 is at least partially disposed within the chamber body 102. The substrate support assembly 104 supports the substrate 110 during processing. The substrate support assembly 104 includes a substrate support 112. The substrate support 112 has a lower surface 114 for mounting a stem 118 and an upper surface 116 for supporting the substrate 110. The stem 118 couples the substrate support assembly 104 to a lift system (not shown) that moves the substrate support assembly 104 between a processing position (as shown) and a transfer position that facilitates substrate transfer to and from the processing system 100 though a slit valve 129 of the chamber body 102.
[0023] The stem 118 has a passage 120 for a cooling gas supply conduit 218 (shown in Figure 2), a cooling gas return conduit 220 (shown in Figure 2), and a thermally conductive gas passage 226 (shown in Figure 2) of the substrate support assembly 104. The substrate support assembly 104 further includes a cooling gas source 132 operable to flow a cooling gas to the cooling gas supply conduit 218 (shown in Figure 2) and the cooling gas return conduit 220 (shown in Figure 2) disposed through the stem 118. The cooling gas flowing through the substrate support 112 is operable to maintain the predetermined support temperature. In one embodiment, which can be combined with other embodiments described herein, the cooling gas is operable to flow through a heat exchanger 124 to maintain the predetermined support temperature.
[0024] As compared to the cost of high temperature fluids, the cooling gas allows the substrate support 112 to maintain the predetermined support temperature at a lower cost and reduced risk of decomposition due to exceeding temperature limits. Flowever, to ensure that the cooling gas is operable to remove between about 1 kW and about 10 kW of heat, a channel width 232 (shown in Figure 2), a channel height 234 (shown in Figure 2), and a channel length of a cooling channel 222 (shown in Figure 2) are designed with specific dimensions such that the cooling gas will maintain the predetermined support temperature. Additionally, operation conditions of flowing the cooling gas such as, gas properties, inlet temperature, flow rate, and pressure of the cooling gas may be adjusted such that the cooling gas will maintain the predetermined support temperature.
[0025] The substrate support assembly 104 further includes a thermally conductive gas source 130 operable to flow a thermally conductive gas through the thermally conductive gas passage 226 (shown in Figure 2) to a distribution channel 228 (shown in Figure 2) and a plurality of pockets 210 (shown in Figure 2) in the substrate support 112. Flowing the thermally conductive gas into the substrate support 112 improves the efficiency of heat transfer between the substrate support 112 and the substrate 110, such that a uniform temperature distribution of the substrate 110 is maintained. Additionally, flowing the thermally conductive gas to the plurality of pockets 210 (shown in Figure 2) is operable to provide a uniform pressure between the substrate 110 and the upper surface 116. The uniform pressure between the substrate 110 and the upper surface 116 provides for uniform heat conduction across the substrate 110. Additionally, the uniform pressure applied across the substrate 110 prevents sagging of the substrate 110.
[0026] The processing system 100 further includes a controller 146. The controller 146 is operably coupled to the processing system 100 and configured to monitor and control aspects of the processing system 100 during processing. The controller 146 may be in communication with the gas distribution assembly 106, the heat exchanger 124, the cooling gas source 132, and/or the thermally conductive gas source 130. The controller 146 is operable to monitor and control the operation conditions of the cooling gas being flowed through the substrate support 112 such that the predetermined support temperature is maintained. The controller 146 is also operable to monitor and control the flow of the thermally conductive gas through the substrate support 112.
[0027] The controller 146 may include a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I/O) (not shown). The CPU may be one of any form of computer processors that are used in industrial settings for controlling various processes and hardware (e.g., motors and other hardware) and monitor the processes (e.g., flow rates of the cooling gas and the thermally conductive gas). The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include conventional cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
[0028] A program (or computer instructions) readable by the controller 146 determine which tasks are performable by the processing system 100. The program may be software readable by the controller 146 and may include instructions to monitor and control, for example a predetermined support temperature, retention of the substrate 110, distribution of the cooling gas and the thermally conductive gas, and the heat exchanger 124.
[0029] Figure 2 is a schematic, cross-sectional of a substrate support assembly 104. The substrate support assembly 104 includes a substrate support 112 and a stem 118. The substrate support 112 includes a lower surface 114 for mounting the stem 118 and an upper surface 116 for supporting a substrate 110.
[0030] The substrate support 112 includes a bottom plate 202, a top plate 204, and an electrostatic chuck (ESC) 206. In one embodiment, which can be combined with other embodiments described herein, the top plate 204 is at least one of cast, brazed, forged, hot iso-statical ly pressed, and sintered to the bottom plate 202. In one embodiment, which can be combined with other embodiments described herein, the top plate 204 is at least one of cast, brazed, forged, hot iso-statically pressed, and sintered to the ESC 206. The substrate support 112 may include, but is not limited to, a titanium or aluminum material, or combinations thereof.
[0031] The ESC 206 includes the upper surface 116. As shown in Figure 2, the upper surface 116 may include a plurality of raised supports 208 to support the substrate 110. The plurality of raised supports 208, the upper surface 116, and the substrate 110 define a plurality of pockets 210. In one embodiment, which can be combined with other embodiments described herein, the ESC 206 includes a chucking electrode disposed therein. The chucking electrode may be configured as a mono polar or bipolar electrode, or other suitable arrangement. The chucking electrode provides a DC power to electrostatically secure the substrate 110 to the upper surface 116 of the substrate support 112. In one embodiment, the ESC 206 is fabricated from a ceramic material, such as alumina (AI2O3), aluminum nitride (AIN) or other suitable material. Alternatively, the ESC 206 may be fabricated from a polymer, such as polyimide, polyetheretherketone, and polyaryletherketone.
[0032] The substrate support assembly 104 further includes a temperature control system 216. The temperature control system 216 includes one or more resistive heaters 214, a cooling channel 222, a cooling gas supply conduit 218, a cooling gas return conduit 220, and a cooling gas source 132. The temperature control system 216 is operable to maintain a predetermined support temperature of the substrate support 112. The predetermined support temperature directly affects a temperature of the substrate 110 as the substrate 110 is retained by the substrate support 112. The predetermined support temperature is between about 50 degrees Celsius and about 350 degrees Celsius.
[0033] The one or more resistive heaters 214 are embedded in the top plate 204. The resistive heaters 214 are utilized to elevate the temperature of the ESC 206 to the predetermined support temperature suitable for processing a substrate 110 disposed on the upper surface 116. The resistive heaters 214 are coupled through the top plate 204 to a heater power source 217. The heater power source 217 may provide 500 watts or more of power to the resistive heaters 214. The heater power source 217 may be in communication with a controller 146 to control the operation of the heater power source 217. In one embodiment, the resistive heaters 214 include a plurality of laterally separated heating zones, wherein the controller enables at least one zone of the resistive heaters 214 to be preferentially heated relative to the resistive heaters 214 located in one or more of the other zones. For example, the resistive heaters 214 can maintain the predetermined support temperature of about 50 degrees Celsius to about 350 degrees Celsius.
[0034] The cooling channel 222 is disposed through the top plate 204. The cooling channel 222 includes a channel width 232 and a channel height 234. The channel width 232 and the channel height 234 are between about 0.5 inches and about 2 inches. Although Figure 2 depicts the cooling channel 222 having a rectangular cross- section, the cooling channel 222 may have any suitable cross-section, such as a circular, square, or triangular cross-section. The cooling channel 222 is fluidly coupled to the cooling gas supply conduit 218 on one end and the cooling gas return conduit 220 on the other end. The cooling gas supply conduit 218 and the cooling gas return conduit 220 are disposed through a passage 120 of the stem 118.
[0035] The cooling gas supply conduit 218 is in fluid communication with the cooling gas source 132. The cooling gas source 132 is operable to flow a cooling gas. The cooling gas includes, but is not limited to, nitrogen (N2) gas, dry air, or combinations thereof. Therefore, the cooling gas will remove heat without the risk of decomposing, improving the efficiency of the processing system 100.
[0036] In one embodiment, which can be combined with other embodiments described herein, the heat exchanger 124 is connected with the cooling channel 222 via a conduit inlet 236 coupled to the cooling gas supply conduit 218 and via a conduit outlet 238 coupled to the cooling gas return conduit 220. The heat exchanger 124 circulates the cooling gas from the cooling gas source 132 though the cooling channel 222 in a unidirectional flow such that excess heat is removed from the substrate support 112 to maintain the predetermined support temperature. The predetermined support temperature can be set to a temperature based on process parameters such that a uniform temperature distribution of the substrate 110 is maintained independent of the intensity of the plasma during processing. By maintaining the predetermined support temperature, the substrate 110 will not overheat.
[0037] In one embodiment, which can be combined with other embodiments described herein, the temperature control system 216 is in communication with the controller 146. The controller 146 is operable to determine the temperature of the substrate support 112. For example, the controller 146 may be coupled to a thermocouple disposed in the substrate support 112 and the heat exchanger 124. The controller 146 coupled to the thermocouple and the heat exchanger 124 is operable to monitor and control operation conditions of the cooling gas entering the cooling channel 222. For example, the controller 146 is operable to control the flow rate of the cooling gas from the cooling gas source 132 to maintain the predetermined support temperature.
[0038] In another embodiment, which can be combined with other embodiments described herein, the cooling gas source 132 is operable to continuously flow the cooling gas to the cooling channel 222. The cooling gas may exit the cooling channel 222 through the cooling gas return conduit 220 and be exhausted from the processing system 100. The controller 146 coupled to the thermocouple is operable to monitor and control operation conditions of the cooling gas entering the cooling channel 222 from the cooling gas source 132. For example, the controller 146 is operable to control the flow rate of the cooling gas from the cooling gas source 132. The controller 146 is operable to monitor and control the flow of the cooling gas such that the predetermined support temperature is maintained.
[0039] The substrate support assembly 104 further includes a thermally conductive gas distribution system 224. The thermally conductive gas distribution system 224 includes a thermally conductive gas source 130, a thermally conductive gas passage 226, a distribution channel 228, and a plurality of gas channels 230. The thermally conductive gas distribution system 224 is operable to provide a thermally conductive gas to the plurality of pockets 210.
[0040] The plurality of gas channels 230 are disposed through the top plate 204 and the ESC 206. The plurality of gas channels 230 are exposed to the upper surface 116 of the substrate support 112. The plurality of gas channels 230 are operable to connect the plurality of pockets 210 to the distribution channel 228. The distribution channel 228 is defined by a bottom surface 212 of the top plate 204 and the bottom plate 202. The cooling channel 222 is disposed between the one or more resistive heaters 214 and the distribution channel 228. By having the distribution channel 228 disposed through the bottom plate 202, the manufacturability of the substrate support 112 is improved as the bottom plate 202 can be coupled to the top plate 204 to form the distribution channel 228. The distribution channel 228 allows the thermally conductive gas flowing through the distribution channel 228 to uniformly distribute across the width of the bottom plate 202 such that the thermally conductive gas flows with a uniform distribution through the plurality of gas channels 230. The distribution channel 228 is fluidly coupled to the thermally conductive gas passage 226. The thermally conductive gas passage 226 is coupled to the thermally conductive gas source 130. The thermally conductive gas source 130 is operable to flow the thermally conductive gas. The thermally conductive gas includes, but is not limited to, a helium (He) gas, hydrogen gas (H2), or combinations thereof. In one embodiment, which can be combined with other embodiments described herein, a pump (not shown) is connected to the thermally conductive gas passage 226 to control the pressure the thermally conductive gas is delivered to the distribution channel 228. [0041] The thermally conductive gas is operable to flow from the thermally conductive gas source 130, through the thermally conductive gas passage 226, to the distribution channel, through the plurality of gas channels 230, and to the plurality of pockets 210. The thermally conductive gas flows to the plurality of pockets 210 such that the thermally conductive gas is disposed between the substrate 110 and the ESC 206. The thermally conductive gas will improve the efficiency of heat transfer between the substrate support 112 and the substrate 110, such that a uniform temperature distribution of the substrate 110 is maintained. Additionally, the thermally conductive gas in the plurality of pockets 210 provides a uniform pressure between the substrate 110 and the upper surface 116. The uniform pressure between the substrate 110 and the upper surface 116 provides for uniform heat conduction across the substrate 110.
[0042] In one embodiment, which can be combined with other embodiments described herein, the thermally conductive gas distribution system 224 is in communication with the controller 146. The controller 146 is operable to monitor and control the flow of the thermally conductive gas to the plurality of pockets 210 such that the predetermined support temperature is maintained. For example, the controller 146 may control the flow rate and volume of the thermally conductive gas being provided from the thermally conductive gas source 130. The controller 146 is operable to increase the pressure the thermally conductive gas is provided to the plurality of pockets 210 to improve the heat conduction to the substrate 110.
[0043] Figure 3 is a schematic, top view of a cooling channel 222 of a top plate 204 of a substrate support 112. The top plate 204 has the cooling channel 222 disposed therein. In one embodiment, which can be combined with other embodiments described herein, more than one cooling channel 222 is disposed in the top plate 204. The cooling channel 222 includes a cooling channel inlet 302. The cooling channel inlet 302 is fluidly coupled to a cooling gas supply conduit 218 (shown in Figure 2). The cooling channel inlet 302 is operable to receive a cooling gas from a cooling gas source 132 in fluid communication with the cooling gas supply conduit 218. The cooling gas at the cooling channel inlet 302 has an inlet temperature i.e. , the temperature of the cooling gas at the cooling channel inlet 302 of between about 20 degrees Celsius and about 100 degrees Celsius. The cooling channel 222 further includes a cooling channel outlet 304. The cooling channel outlet 304 is fluidly coupled to a cooling gas return conduit 220 (shown in Figure 2). The cooling channel outlet is operable to return the cooling gas after being circulated through the cooling channel 222. The cooling gas at the cooling channel outlet 304 has an outlet temperature i.e. , the temperature of the cooling gas at the cooling channel outlet 304, of between about 50 degrees Celsius and about 350 degrees Celsius. In one embodiment, which can be combined with other embodiments described herein, a heat exchanger 124 is in fluid communication with the cooling channel inlet 302 and the cooling channel outlet 304. The heat exchanger 124 circulates the cooling gas though the cooling channel 222 in a unidirectional flow such that excess heat is removed from the substrate support 112 to maintain the predetermined support temperature. The cooling gas flowing through the cooling channel 222 is operable to remove between about 1 kW and about 10 kW of heat.
[0044] The cooling channel 222 includes a channel width 232. The channel width 232 is between about 0.5 inches and about 2 inches. A channel length defined by the distance of the cooling channel 222 between the cooling channel inlet 302 and the cooling channel outlet 304 is between about 1 meter and about 10 meters. The cooling channel 222 is configured such that the cooling gas flowing through the cooling channel inlet 302 has a lower temperature than the cooling gas flowing through the cooling channel outlet 304. The temperature of portions of the top plate 204 of the substrate support 112 will be approximately the average temperature of the cooling gas in the cooling channel 222 directly surrounding the portions of the top plate 204. Therefore, the temperature of the top plate 204 remains substantially uniform. The cooling channel 222 is not limited to the configuration shown in Figure 3, and may be configured in any pattern such that the temperature of the top plate 204 remains substantially uniform.
[0045] Figure 4 is a flow diagram of a method 400 for controlling the temperature of the substrate support 112. To facilitate explanation, the method 400 is described with reference to the substrate support 112 of a processing system 100, as shown in Figure 1 and Figure 2. The method 400 may be utilized in other types of plasma processing systems, for example plasma treatment chambers, annealing chambers, etch chambers, physical vapor deposition chambers, chemical vapor deposition chambers, and ion implantation chambers, among others, as well as other systems where the ability to maintain a predetermined support temperature of a substrate support at temperatures between about 50 degrees Celsius and about 350 degrees Celsius is desirable. A controller 146 in communication with the processing system 100 controls and monitors the operations of the method 400 such that a predetermined support temperature is maintained.
[0046] At operation 401 , a substrate 110 is retained on a substrate support 112 of a substrate support assembly 104. The substrate 110 is retained on an electrostatic chuck (ESC) 206 of the substrate support 112. A DC power is provided to a chucking electrode disposed in the ESC 206 to electrostatically secure the substrate 110 to a plurality of raised supports 208 of the upper surface 116.
[0047] At operation 402, the substrate support 112 is heated to a predetermined support temperature. The predetermined support temperature is between about 50 degrees Celsius and about 350 degrees Celsius. One or more resistive heaters 214 disposed in a top plate 204 of the substrate support 112 heat the substrate support 112 to the predetermined support temperature. A heater power source 217 is instructed by the controller 146 to provide 500 watts or more of power to the resistive heaters 214 such that the predetermined support temperature is reached.
[0048] At operation 403, the substrate 110 is processed. One example of the process is a plasma-enhanced chemical vapor deposition (PECVD) process. A uniform predetermined distribution of a precursor gas flows through a diffuser plate 105 and into a process volume 108 of the processing system 100. The precursor gas is heated by the radiated heat from the substrate support 112. When RF power is supplied to the diffuser plate 105, an electric field is generated between the diffuser plate 105 and substrate support 112 such that atoms of gases present in the process volume 108 between the substrate support 112 and the diffuser plate 105 are energized (e.g., excited) into a plasma. The excited precursor gas reacts to form a film of material on a surface of the substrate 110. As a result of the intensity of the plasma during processing, heat will be dissipated from the plasma.
[0049] At operation 404, the substrate 110 is maintained at the predetermined support temperature. The temperature is maintained by flowing a cooling gas into a cooling channel 222 of the substrate support 112. The operation 404 may be performed concurrently with the operation 403 such that the cooling gas maintains the predetermined support temperature in the substrate support 112 during the operation 403. The cooling gas flows to a cooling gas supply conduit 218 from a cooling gas source 132, flows through the cooling gas supply conduit 218 to a cooling channel inlet (shown in Figure 3) of the cooling channel 222. The cooling gas flows through the cooling channel 222 to maintain the predetermined support temperature as heat dissipated by the plasma is transferred to the cooling gas. The cooling gas flows to a cooling gas return conduit 220 via the cooling channel outlet 304. The predetermined support temperature is set to a temperature such that a uniform temperature distribution of the substrate 110 is maintained independent of the intensity of the plasma during processing. By maintaining the predetermined support temperature, the substrate 110 will not overheat.
[0050] When a heat exchanger 124 is utilized, the cooling gas flows through the heat exchanger 124 to maintain the predetermined support temperature. The heat exchanger 124 circulates the cooling gas from the cooling gas source 132 though the cooling channel 222 in a unidirectional flow such that excess heat is removed from the substrate support 112 to maintain the predetermined support temperature. In another embodiment, which can be combined with other embodiments described herein, the cooling gas continuously flows from cooling gas source 132 to the cooling channel 222. The cooling gas is exhausted from the processing system 100 when the cooling gas exits the cooling gas return conduit 220.
[0051] The cooling gas flows through the cooling channel 222 such that the excess heat from the plasma is removed efficiently to prevent overheating of the substrate 110. The cooling gas flows according to operation conditions utilized in the operation 404 that allow for the cooling gas to remove the necessary amount of heat. For example, the cooling gas flowing through the cooling channel 222 is operable to remove between about 1 and about 10 kW of heat.
[0052] The operation conditions include gas properties of the cooling gas, inlet temperature, flow rate, and pressure. Based on the amount of heat needed to be removed, the operation conditions are determined. The operation conditions may be determined by the controller 146. The gas properties of the cooling gas ensure that the excess heat will be removed and the cooling gas can flow without decomposing. The gas properties of the cooling gas include specific heat (CP), thermal conductivity (k), and the dynamic viscosity (m). The inlet temperature at the cooling channel inlet 302 is between about 20 degrees Celsius and about 100 degrees Celsius. The flow rate of the cooling gas through the cooling channel 222 is between about 500 standard liters per minute (SLM) and about 2000 SLM. The pressure of the cooling gas is between about 1 standard atmosphere and about 3 standard atmospheres. The controller 146 is in communication with the substrate support 112 and the heat exchanger 124. The controller 146 is operable to monitor and control the operation conditions of the cooling gas entering the cooling channel 222. For example, the controller 146 can control the inlet temperature, the flow rate, and the pressure of the cooling gas provided to the cooling channel 222 such that the predetermined support temperature is maintained.
[0053] The thermally conductive gas may be flowed to the plurality of pockets during the operations 403 and 404 to improve the efficiency of heat transfer between the substrate support 112 and the substrate 110, such that a uniform temperature distribution of the substrate 110 is maintained. Additionally, the thermally conductive gas is flowed to the plurality of pockets 210 in the operations 403 and 404 to provide a uniform pressure between the substrate 110 and the upper surface 116. The uniform pressure between the substrate 110 and the upper surface 116 provides for uniform heat conduction across the substrate 110.
[0054] At operation 405, the substrate 110 is removed from the substrate support 112. In one embodiment, which can be combined with other embodiments described herein, the substrate 110 is removed via a lift-off gas being provided to the substrate 110. In another embodiment, which can be combined with other embodiments described herein, the substrate 110 is removed via a transfer robot.
[0055] In summation, a substrate support assembly and methods for controlling the temperature of a substrate support are described herein. The substrate support system includes a unidirectional flow of cooling gas through a cooling channel disposed in a top plate of a substrate support. The cooling gas maintains the predetermined support temperature. The cooling channel is designed to ensure that the cooling gas is flowed efficiently through the substrate support. As compared to the cost of high temperature fluids, the cooling gas allows the substrate support to maintain the predetermined support temperature at a lower cost and reduced risk of decomposition due to exceeding temperature limits. However, to ensure that the cooling gas is operable to remove between about 1 kW and about 10 kW of heat, a channel, a channel height, and a channel length of a cooling channel are designed with specific dimensions such that the cooling gas will maintain the predetermined support temperature. Additionally, operation conditions of flowing the cooling gas such as, gas properties, inlet temperature, flow rate, and pressure of the cooling gas may be adjusted such that the cooling gas will maintain the predetermined support temperature. A controller coupled to the substrate support system monitors and controls operation conditions of the cooling gas to ensure that the predetermined support temperature is maintained.
[0056] While the foregoing is directed to examples of the present disclosure, other and further examples of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A substrate support assembly, comprising: an electrostatic chuck (ESC) having an upper surface; a top plate coupled to the ESC, the top plate including a temperature control system, the temperature control system comprising: one or more resistive heaters disposed in the top plate and operable to be coupled to a heater power source; a cooling channel disposed through the top plate; and a cooling gas source operable to be connected with the cooling channel, the cooling gas source operable to flow a cooling gas through the cooling channel; a plurality of gas channels disposed through the top plate and open to the upper surface of the ESC; a bottom plate coupled to the top plate, the bottom plate including a thermally conductive gas distribution system, the thermally conductive gas distribution system comprising: a distribution channel defined by the bottom plate and a bottom surface of the top plate; and a thermally conductive gas source operable to be connected with the distribution channel, the thermally conductive gas source operable to flow a thermally conductive gas through the distribution channel and the plurality of gas channels; and a controller operable to be connected with the cooling gas source, the controller operable to monitor and control the heater power source, the cooling gas source, and the thermally conductive gas source such that a predetermined support temperature is maintained.
2. The substrate support assembly of claim 1 , wherein the upper surface includes a plurality of raised supports operable to support a substrate.
3. The substrate support assembly of claim 2, wherein the plurality of raised supports, the upper surface, and the substrate define a plurality of pockets.
4. The substrate support assembly of claim 3, wherein the thermally conductive gas is operable to flow through the plurality of gas channels to the plurality of pockets.
5. The substrate support assembly of claim 1 , wherein the cooling gas source is operable to be in fluid communication with the cooling channel via a cooling gas supply conduit and a cooling gas return conduit coupled to each end of the cooling channel.
6. The substrate support assembly of claim 5, wherein a heat exchanger is operable to be connected with the cooling channel via a conduit inlet coupled to the cooling gas supply conduit and via a conduit outlet coupled to the cooling gas return conduit.
7. The substrate support assembly of claim 6, wherein the heat exchanger is operable to circulate the cooling gas from the cooling gas source through the cooling channel in a unidirectional flow such that the predetermined support temperature is maintained.
8. The substrate support assembly of claim 4, wherein the cooling channel includes a channel height between about 0.5 inches and about 2 inches.
9. The substrate support assembly of claim 1 , wherein the cooling channel includes a channel width between about 0.5 inches and about 2 inches.
10. The substrate support assembly of claim 1 , wherein the cooling channel includes a channel length defined by a distance of the cooling channel between a cooling channel inlet and a cooling channel outlet of the cooling channel, the channel length between about 1 meter and about 10 meters.
11. A method, comprising: heating a substrate disposed on an upper surface of a substrate support to a predetermined support temperature, the substrate heated with one or more resistive heaters disposed in the substrate support; flowing a cooling gas from a cooling gas source through a cooling channel disposed through the substrate support, a cooling gas source flowing the cooling gas from a conduit inlet to a conduit outlet to maintain the substrate support at the predetermined support temperature; and monitoring a flow rate of the cooling gas with a controller coupled to the cooling gas source, the controller instructing the cooling gas source to increase or decrease the flow rate of the cooling gas to maintain the predetermined support temperature.
12. The method of claim 11 , further comprising disposing a substrate on an upper surface of the substrate support prior to heating the substrate, the substrate support disposed in a chamber body of a processing system, the substrate support including an electrostatic chuck (ESC), a top plate coupled to the ESC, and a bottom plate coupled to the top plate.
13. The method of claim 12, wherein a heat exchanger in fluid communication with the cooling channel circulates the cooling gas through the top plate such that the predetermined support temperature is maintained.
14. The method of claim 13, wherein the controller coupled to the heat exchanger monitors and controls pressure of the cooling gas to maintain the predetermined support temperature.
15. The method of claim 12, further comprising processing the substrate within the chamber body when the predetermined support temperature is reached, the processing the substrate including performing a plasma enhanced chemical vapor deposition (PECVD) process.
16. The method of claim 11 , wherein the cooling gas source flows the cooling gas at a flow rate between about 500 standard liters per minute (SLM) and about 2000 SLM.
17. The method of claim 11 , wherein the cooling gas is provided at a pressure between about between about 1 standard atmosphere and about 3 standard atmospheres.
18. The method of claim 11 , wherein the cooling gas removes between about 1 kW and about 10 kW of heat from the substrate support.
19. The method of claim 11 , wherein the cooling gas includes nitrogen (N2) gas or combinations thereof.
20. A method, comprising: disposing a substrate on an upper surface of a substrate support, the substrate support disposed in a chamber body of a processing system, the substrate support including an electrostatic chuck (ESC), a top plate coupled to the ESC, and a bottom plate coupled to the top plate; heating the substrate to a predetermined support temperature with one or more resistive heaters disposed in the top plate; processing the substrate within the chamber body; and flowing a cooling gas from a cooling gas source through a cooling channel disposed through the top plate, the cooling gas source operable to flow the cooling gas through the cooling channel to maintain the substrate support at the predetermined support temperature.
PCT/US2021/040298 2021-07-02 2021-07-02 High temperature susceptor for high power rf applications WO2023277923A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020247003486A KR20240023670A (en) 2021-07-02 2021-07-02 High temperature susceptor for high power RF applications
PCT/US2021/040298 WO2023277923A1 (en) 2021-07-02 2021-07-02 High temperature susceptor for high power rf applications
TW111120545A TW202308028A (en) 2021-07-02 2022-06-02 High temperature susceptor for high power rf applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2021/040298 WO2023277923A1 (en) 2021-07-02 2021-07-02 High temperature susceptor for high power rf applications

Publications (1)

Publication Number Publication Date
WO2023277923A1 true WO2023277923A1 (en) 2023-01-05

Family

ID=84690578

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2021/040298 WO2023277923A1 (en) 2021-07-02 2021-07-02 High temperature susceptor for high power rf applications

Country Status (3)

Country Link
KR (1) KR20240023670A (en)
TW (1) TW202308028A (en)
WO (1) WO2023277923A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030106647A1 (en) * 2000-07-17 2003-06-12 Akira Koshiishi Apparatus for holding an object to be processed
JP2013153171A (en) * 2013-02-15 2013-08-08 Panasonic Corp Plasma processing apparatus and plasma processing method
US20180037987A1 (en) * 2014-02-14 2018-02-08 Applied Materials, Inc. Gas cooled substrate support for stabilized high temperature deposition
KR20180047400A (en) * 2016-10-31 2018-05-10 삼성전자주식회사 electrostatic chuck assembly, semiconductor manufacturing apparatus having the same
JP2020068350A (en) * 2018-10-26 2020-04-30 日本特殊陶業株式会社 Holding device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030106647A1 (en) * 2000-07-17 2003-06-12 Akira Koshiishi Apparatus for holding an object to be processed
JP2013153171A (en) * 2013-02-15 2013-08-08 Panasonic Corp Plasma processing apparatus and plasma processing method
US20180037987A1 (en) * 2014-02-14 2018-02-08 Applied Materials, Inc. Gas cooled substrate support for stabilized high temperature deposition
KR20180047400A (en) * 2016-10-31 2018-05-10 삼성전자주식회사 electrostatic chuck assembly, semiconductor manufacturing apparatus having the same
JP2020068350A (en) * 2018-10-26 2020-04-30 日本特殊陶業株式会社 Holding device

Also Published As

Publication number Publication date
TW202308028A (en) 2023-02-16
KR20240023670A (en) 2024-02-22

Similar Documents

Publication Publication Date Title
US20210087680A1 (en) Susceptor having cooling device
KR101109440B1 (en) Method and apparatus for controlling spatial temperature distribution
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
KR101787100B1 (en) Temperature controlled showerhead for high temperature operations
KR101570633B1 (en) Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
TWI527140B (en) Process chambers having shared resources and methods of use thereof
JP4176848B2 (en) Substrate support and processing apparatus
US20040212947A1 (en) Substrate support having heat transfer system
JP6268095B2 (en) Thermal management of edge rings in semiconductor processing.
JP2007535816A5 (en)
WO1990013687A2 (en) Apparatus and method for treating flat substrates under reduced pressure
JP2011009777A (en) Processing system and method for chemical treatment of substrate
JP2007535816A (en) Plasma processing equipment including a gas distribution member for supplying process gas and high frequency power
KR101039085B1 (en) Plasma processing apparatus and plasma processing method
TW202141681A (en) Substrate support
TW202114040A (en) Placing table and substrate processing apparatus
WO2023277923A1 (en) High temperature susceptor for high power rf applications
TWI811634B (en) High temperature micro-zone electrostatic chuck
JP7164632B2 (en) Temperature controlled gas diffuser for flat panel process equipment
JP4035008B2 (en) Vacuum processing equipment
KR20110083979A (en) Plasma processing apparatus
WO2019236856A1 (en) Temperature controlled susceptor for flat panel process equipment
KR20240005939A (en) High temperature susceptor with metal matrix composite

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21948669

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20247003486

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE