KR20180056388A - 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법 - Google Patents

기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20180056388A
KR20180056388A KR1020170152752A KR20170152752A KR20180056388A KR 20180056388 A KR20180056388 A KR 20180056388A KR 1020170152752 A KR1020170152752 A KR 1020170152752A KR 20170152752 A KR20170152752 A KR 20170152752A KR 20180056388 A KR20180056388 A KR 20180056388A
Authority
KR
South Korea
Prior art keywords
gas
reaction tube
buffer
substrate
exhaust
Prior art date
Application number
KR1020170152752A
Other languages
English (en)
Other versions
KR102147174B1 (ko
Inventor
테츠야 마루바야시
사토루 무라타
코스케 타카기
아츠시 히라노
키요아키 야마다
하루오 모리카와
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2017201009A external-priority patent/JP6823575B2/ja
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20180056388A publication Critical patent/KR20180056388A/ko
Application granted granted Critical
Publication of KR102147174B1 publication Critical patent/KR102147174B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 웨이퍼 면내의 가스 유속을 크게 하는 구성을 제공한다.
반응관 구조를 구비하는 기판 처리 장치로서, 상기 반응관 구조는, 기판이 처리되는 처리실을 한정하는 반응관; 상기 반응관의 하부에 설치되고, 처리 가스가 도입되는 가스 도입부; 상기 반응관의 측면의 일단(一端)을 구성하고, 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부(開口部)가 설치되는 버퍼부; 상기 가스 도입부와 상기 버퍼부 사이에 설치되고, 상기 가스 도입부부터 상기 버퍼부까지 연통시키는 연결부; 및 상기 반응관의 측면의 타단(他端)의 하단부를 구성하고, 상기 처리실로부터 상기 처리 가스를 배출하는 가스 배기부를 포함하고, 상기 버퍼부의 상단부로부터 상기 가스 배기부에 대향하는 위치까지 설치된 상기 개구부로부터 상기 처리실에 도입되고, 상기 처리실을 개재하여 상기 가스 배기부로부터 상기 처리 가스가 배출되도록 구성되는 기판 처리 장치가 제공된다.

Description

기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법{SUBSTRATE PROCESSING APPARATUS, REACTION TUBE STRUCTURE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법에 관한 것이다.
종래, 기판에 산화, 확산 등의 처리(특히 PYRO, DRY 산화, 어닐링 등의 처리)를 수행하는 기판 처리 장치에서 예컨대 특허문헌 1 및 특허문헌 2에 기재되는 바와 같이 반응관의 하부에 설치된 가스 도입 포트로부터 가스를 도입하고, 반응관의 천정부로부터 반응실 내에 가스를 공급하도록 구성된다. 반응실 내에 유입된 가스는 기판(이하, 웨이퍼라고도 부른다.) 처리 영역의 상측으로부터 하측을 통과하고, 반응관의 하부에 설치된 배기 포트로부터 반응실 외로 배출된다. 또한 이 종류의 기판 처리 장치에서는 공장 설비의 배기 능력만으로 반응실 내를 소정의 압력으로 제어하여 기판의 처리가 수행된다. 특허문헌 1에는 천정부에 가스를 일시적으로 체류시켜 압력을 조정하는 공간이 설치되고, 공간의 하면 내벽에는 복수의 가스를 분출하는 개구(開口)가 있고, 이 개구로부터 균일하게 가스를 반응실 내에 흘리는 구조가 기재되어 있다.
이와 같이 반응관과 일체화된 노즐의 하부로부터 상부로 가스를 흘리는 동안에 가스의 온도가 상승하고, 고온 가스를 천정부로부터 반응실 내에 공급하는 것에 의해, 반응실 내를 구성하는 부품(SiC 부품, 석영 부품, 웨이퍼)과의 온도 차이를 작게 하여 파티클 발생의 저감을 도모하고 있다.
1. 일본 특개 평11-067750호 공보 2. 일본 특개 평07-176498호 공보
하지만 보트 상단으로부터 하단에 이르기까지 웨이퍼 면내(面內)의 가스 유속이 작은 것에 의해, 웨이퍼로부터의 아웃 가스[사전 처리로 웨이퍼에 형성된 막으로부터의 열처리로 인한 탈(脫) 가스]에 기인하는 웨이퍼 면내의 파티클이 증가한다는 문제가 있다.
본 발명의 목적은 웨이퍼 면내의 가스 유속을 크게 하는 구성을 제공하는 데 있다.
본 발명의 일 형태에 의하면, 반응관 구조를 구비하는 기판 처리 장치로서, 상기 반응관 구조는, 기판이 처리되는 처리실을 한정하는 반응관; 상기 반응관의 하부에 설치되고, 처리 가스가 도입되는 가스 도입부; 상기 반응관의 측면의 일단(一端)을 구성하고, 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부(開口部)가 설치되는 버퍼부; 상기 가스 도입부와 상기 버퍼부 사이에 설치되고, 상기 가스 도입부부터 상기 버퍼부까지 연통시키는 연결부; 및 상기 반응관의 측면의 타단(他端)의 하단부를 구성하고, 상기 처리실로부터 상기 처리 가스를 배출하는 가스 배기부를 포함하고, 상기 버퍼부의 상단부로부터 상기 가스 배기부에 대향하는 위치까지 설치된 상기 개구부로부터 상기 처리실에 도입되고, 상기 처리실을 개재하여 상기 가스 배기부로부터 상기 처리 가스가 배출되도록 구성되는 기판 처리 장치가 제공된다.
본 발명에 따르면 웨이퍼 면내의 가스 유속을 크게 할 수 있고, 웨이퍼로부터의 아웃 가스에 의한 파티클을 억제할 수 있다.
도 1은 본 발명의 일 실시 형태에 따른 기판 처리 장치를 도시하는 개략 평면도.
도 2는 본 발명의 일 실시 형태에 따른 기판 처리 장치의 제어부를 도시하는 블록도.
도 3은 본 발명의 제1 실시 형태에 따른 기판 처리 장치의 처리로를 도시하는 종단면도(縱斷面圖).
도 4는 본 발명의 제1 실시 형태에서 기판 처리 장치의 반응관 구조를 도시하는 개략 구성도.
도 5는 본 발명의 제1 실시 형태에 따른 기판 처리 장치의 처리실을 도시하는 평면단면도.
도 6은 본 발명의 제1 실시 형태에서 기판 처리 장치의 반응관과 배기계의 접속 부분을 도시하는 종단면도.
도 7은 본 발명의 제2 실시 형태에 따른 기판 처리 장치의 반응관 구조를 도시하는 평면단면도.
도 8은 본 발명의 제2 실시 형태에서 기판 처리 장치의 처리실을 도시하는 개략 구성도.
도 9는 본 발명의 실시 형태에서 기판 처리 장치의 배기계의 구성을 도시하는 도면.
도 10은 본 발명의 실시 형태에서 기판 처리 장치의 반응관에 설치된 버퍼부를 설명하기 위한 도면이다.
도 11은 본 발명의 실시 형태에서 기판 처리 장치의 반응관의 하부를 도시하는 단면도.
도 12는 웨이퍼 면간(面間)의 가스 유속의 비교를 도시하는 도면.
도 13은 웨이퍼 면간의 가스 농도의 비교를 도시하는 도면.
도 14는 웨이퍼 면내의 가스 농도의 비교를 도시하는 도면.
본 발명에 따른 기판 처리 장치는 반도체 웨이퍼를 다루기 위해서 구성되고, 반도체 웨이퍼에 산화막 형성이나 확산 및 CVD와 같은 처리를 수행하기 위해서 구성된다. 본 발명에서 기판으로서의 반도체 웨이퍼(200)(이하, 웨이퍼라고 부른다.)는 실리콘 등의 반도체로부터 제작되고, 웨이퍼(200)를 수납해서 반송하는 캐리어(수용기)로서는 FOUP(110)(Front Opening Unified Pod)가 사용된다.
도 1에 도시된 바와 같이 본 발명에 따른 기판 처리 장치(100)(이하, 처리 장치라고도 부른다.)는 광체(111)(筐體)를 구비한다. 광체(111)의 정면벽(111a)의 정면 전방부(前方部)에는 메인터넌스가 가능한 개구 공간이 설치되고, 이 개구 공간을 개폐하는 정면 메인터넌스 문(104a, 104b)이 각각 설치된다.
광체(111)의 정면벽(111a)에는 FOUP(110)(이하, 포드라고 부른다.)를 반입 및 반출하기 위한 포드 반입 반출구(112)가 광체(111)의 내외를 연통하도록 개설되고, 포드 반입 반출구(112)는 프론트 셔터(113)에 의해 개폐되도록 이루어진다.
포드 반입 반출구(112)의 정면 전방측에는 로드 포트(114)가 설치되고, 로드 포트(114)는 포드(110)를 재치한 상태에서 위치를 맞추도록 구성된다. 포드(110)는 로드 포트(114) 상에 공정 내 반송 장치(미도시)에 의해 반입되고, 또한 로드 포트(114) 상으로부터 반출된다.
광체(111) 내의 전후 방향의 대략 중앙부에서의 상부에는 회전 가능한 포드 보관용의 수용 선반(105)이 설치되고, 수용 선반(105)은 복수 개의 포드(110)를 보관하도록 구성된다. 즉 수용 선반(105)은 수직으로 입설(立設)되고, 지주(116)와, 지주(116)에 n(n은 1 이상)단의 선반판(117)을 구비하고, 복수 매의 선반판(117)은 포드(110)를 복수 개씩 각각 재치한 상태에서 보지(保持)하도록 구성된다.
광체(111) 내에서의 로드 포트(114)와 수용 선반(105) 사이에는 제1 반송 장치로서의 포드 반송 장치(118)가 설치된다. 포드 반송 장치(118)는 포드(110)를 보지한 상태에서 승강 가능한 포드 엘리베이터(118a)와, 포드 반송 기구(118b)로 구성된다. 포드 반송 장치(118)는 포드 엘리베이터(118a)와 포드 반송 기구(118b)의 연속 동작에 의해 로드 포트(114), 수용 선반(105), 포드 오프너(121) 사이에서 포드(110)를 반송하도록 구성된다.
기판 처리 장치(100)는 산화막 형성 등의 처리를 수행하는 반도체 제조 장치를 구비한다. 반도체 제조 장치의 광체를 구성하는 서브 광체(119)는 광체(111) 내의 전후 방향의 대략 중앙부에서의 하부에 후단(後端)에 걸쳐서 구축된다.
서브 광체(119)의 정면벽(119a)에는 웨이퍼(200)를 서브 광체(119) 내에 대하여 반입 및 반출하기 위한 웨이퍼 반입 반출구(120)(기판 반입 반출구) 한 쌍이 수직 방향으로 상하 2단으로 배열되어 개설되고, 상하단의 웨이퍼 반입 반출구(120)에는 한 쌍의 포드 오프너(121)가 각각 설치된다.
포드 오프너(121)는 포드(110)를 재치하는 재치대(122)와, 포드(110)의 캡을 탈착하는 캡 탈착 기구(123)를 구비한다. 포드 오프너(121)는 재치대(122)에 재치된 포드(110)의 캡을 캡 탈착 기구(123)로 탈착하는 것에 의해 포드(110)의 웨이퍼 출입구를 개폐하도록 구성된다.
서브 광체(119)는 포드 반송 장치(118)나 수용 선반(105)의 설치 공간으로부터 유체적으로 격리된 이재실(124)을 구성한다. 이재실(124) 전측 영역에는 웨이퍼 이재 기구(125)(기판 이재 기구)가 설치된다. 기판 이재 기구는 웨이퍼 이재 장치(125a)(기판 이재 장치)와 웨이퍼 이재 장치 엘리베이터(125b)(기판 이재 장치 승강 기구)로 구성된다. 기판 이재 장치(125a)는 트위저(125c)에 의해 웨이퍼(200)를 보지하고, 웨이퍼(200)를 수평 방향으로 회전 내지 직동(直動)시킨다. 기판 이재 장치 승강 기구(125b)는 기판 이재 장치(125a)를 승강시킨다. 기판 이재 기구(125)은 기판 이재 장치 승강 기구(125b) 및 기판 이재 장치(125a)의 연속 동작에 의해 보트(217)(기판 보지구)에 대하여 웨이퍼(200)를 장전(裝塡, charging) 및 탈장(脫裝, discharging)한다.
이재실(124)에는 후술하는 승강 기구로서의 보트 엘리베이터(미도시)가 설치된다. 승강 기구는 보트(217)를 승강시키도록 구성된다. 승강 기구에 연결된 연결구로서의 암에는 개체(蓋體)로서의 씰 캡(219)이 수평하게 설치되고, 개체(219)는 보트(217)를 수직으로 지지하고, 처리로(202)의 하단부를 폐색(閉塞) 가능하도록 구성된다. 보트(217)는 복수 개의 지지부로서의 보지 부재를 구비하고, 복수 매(예컨대 50매 내지 125매 정도)의 웨이퍼(200)를 그 중심을 맞춰서 수직 방향으로 정렬시킨 상태에서 각각 수평하게 지지부에 보지하도록 구성된다.
또한 보지 부재의 재질은 석영(SiO2) 또는 SiC(탄화규소 또는 실리콘카바이드), Si(실리콘)으로 이루어진다. 또한 재질은 프로세스 처리 온도에 따라 구분해서 사용된다. 예컨대 프로세스 처리 온도가 950℃ 이하라면 석영 재료를 사용하고, 프로세스 처리 온도가 고온 처리 950℃ 이상이라면 SiC 재료나 Si 재료 등을 사용한다. 또한 지지부의 손톱 형상은 짧은 것, 긴 것, 웨이퍼(200)와의 접촉 면적을 작게 한 것 등 다양한 종류가 있으며, 프로세스 조건에 따라 달라지도록 구성된다.
다음으로 기판 처리 장치(100)의 동작에 대해서 설명한다. 도 1에 도시된 바와 같이 포드(110)가 로드 포트(114)에 공급되면, 포드 반입 반출구(112)가 프론트 셔터(113)에 의해 개방되고, 로드 포트(114) 상의 포드(110)는 포드 반송 장치(118)에 의해 광체(111)의 내부에 포드 반입 반출구(112)로부터 반입된다.
반입된 포드(110)는 수용 선반(105)의 지정된 선반판(117)에 포드 반송 장치(118)에 의해 자동적으로 반송되어 수도(受渡)되고 일시적으로 보관된 후, 선반판(117)으로부터 일방(一方)의 포드 오프너(121)에 반송되어 재치대(122)에 이재되거나 혹은 직접 포드 오프너(121)에 반송되어 재치대(122)에 이재된다. 이때 포드 오프너(121)의 웨이퍼 반입 반출구(120)는 캡 탈착 기구(123)에 의해 닫히고, 이재실(124)에는 클린 에어가 유통되어 충만된다.
재치대(122)에 재치된 포드(110)는 그 개구측 단면(端面)이 서브 광체(119)의 정면벽(119a)에서의 웨이퍼 반입 반출구(120)의 개구 연변부(緣邊部)에 압부(押付)되는 것과 함께, 그 캡이 캡 탈착 기구(123)에 의해 제거되어 포드(110)의 웨이퍼 출입구가 개방된다. 웨이퍼(200)는 포드(110)로부터 기판 이재 장치(125a)의 트위저(125c)에 의해 웨이퍼 출입구를 통해서 픽업되고, 노치(notch) 맞춤 장치(미도시)로 웨이퍼를 정합한 후, 보트(217)에 이재되어 장전(웨이퍼 차징)된다. 보트(217)에 웨이퍼(200)를 수도한 기판 이재 장치(125a)는 포드(110)에 돌아가 다음 웨이퍼(200)를 보트(217)에 장전한다.
이 일방(상단 또는 하단)의 포드 오프너(121)에서의 기판 이재 기구(125)에 의한 웨이퍼(200)의 보트(217)로의 장전 작업 중에 타방(他方)(하단 또는 상단)의 포드 오프너(121)에는 수용 선반(105) 내지 로드 포트(114)로부터 다른 포드(110)가 포드 반송 장치(118)에 의해 반송되고, 포드 오프너(121)에 의한 포드(110)의 개방 작업이 동시에 진행된다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 처리로(202)의 하단부가 노구 게이트 밸브(147)에 의해 개방된다. 계속해서 개체(219)가 승강 기구(115)의 승강대에 의해 상승되고, 개체(219)에 지지된 보트(217)가 처리로(202) 내에 반입(로딩)된다.
로딩 후는 처리로(202)에서 웨이퍼(200)에 처리가 실시된다. 처리 후는 승강 기구(미도시)에 의해 보트(217)가 인출된다. 그 후는 노치 맞춤 장치(미도시)로의 웨이퍼(200)의 정합 공정을 제외하고, 전술과 반대의 순서로 웨이퍼(200) 및 포드(110)는 광체(111)의 외부에 불출(拂出)된다.
다음으로 제어부로서의 컨트롤러(240)의 구성에 대해서 도 2를 참조하면서 설명한다. 제어부(240)는 처리부로서의 CPU(224)(중앙 처리 장치), 일시 기억부로서의 메모리(226)(RAM, ROM 등), 기억부로서의 하드디스크 드라이브(222)(HDD), 통신부로서의 송수신 모듈(228)을 구비한 컴퓨터로서 구성된다. 또한 제어부(240)는 전술한 CPU(224) 및 메모리(226) 등을 적어도 포함하는 지령부(220)와, 통신부(228)와, 기억부(222) 외에 액정 디스플레이 등의 표시 장치 및 키보드나 마우스 등의 포인팅 디바이스를 포함하는 조작부로서의 유저 인터페이스(UI)장치(248)를 구성에 포함시켜도 상관없다. 하드 디스크(222)에는 처리 조건 및 처리 순서가 정의된 레시피 등의 각 레시피 파일, 이들 각 레시피 파일을 실행시키기 위한 제어 프로그램 파일, 처리 조건 및 처리 순서를 설정하기 위한 파라미터 파일 외에, 프로세스 파라미터를 입력하는 입력 화면을 포함하는 각종 화면 파일 등(모두 미도시)이 격납된다.
또한 제어부(240)의 통신부(228)에는 스위칭 허브 등이 접속된다. 제어부(240)는 통신부(228)에 의해 네트워크를 개재하여 외부의 컴퓨터 등과 데이터의 송신 및 수신을 수행하도록 구성된다.
또한 제어부(240)는 통신부(228)에 의해 통신 회선을 개재하여 광체(111) 내에 설치되는 센서 등의 구성, 가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238)에 전기적으로 접속된다.
또한 본 발명의 실시 형태에 따른 제어부(240)는 전용의 시스템뿐만 아니라 통상의 컴퓨터 시스템을 이용해도 실현 가능하다. 예컨대 범용 컴퓨터에 전술한 처리를 실행하기 위한 프로그램을 격납한 기록 매체(플렉시블 디스크, CD-ROM, USB 등)로부터 상기 프로그램을 인스톨하는 것에 의해 소정의 처리를 실행하는 각 컨트롤러를 구성할 수 있다.
그리고 이들 프로그램을 공급하기 위한 수단은 임의다. 전술한 바와 같이 소정의 기록 매체를 개재하여 공급할 수 있고, 그 외에 예컨대 통신 회선, 통신 네트워크, 통신 시스템 등을 개재하여 공급해도 좋다. 이 경우, 예컨대 통신 네트워크의 게시판에 상기 프로그램을 게시하고, 네트워크를 개재하여 반송파에 중첩해서 제공해도 좋다. 그리고 이와 같이 제공된 프로그램을 기동하여 OS의 제어 하에서 다른 어플리케이션 프로그램과 마찬가지로 실행하는 것에 의해 소정의 처리를 실행할 수 있다.
(제1 실시 형태)
도 3 내지 도 6, 도 9 및 도 10을 주로 이용하여 제1 실시 형태에 대해서 설명한다.
도 3에 도시된 바와 같이 처리로(202)는 히터(206)(가열 기구)를 포함한다. 히터(206)는 원통 형상이며, 보지판(251)(히터 베이스)에 지지되는 것에 의해 수직으로 설치된다.
히터(206)의 내측에는 균열관(205)[외관(外管)]이 히터(206)와 동심원으로 배설(配設)된다. 균열관(205)은 SiC 등의 내열성 재료가 사용되고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성된다. 균열관(205)의 내측에는 반응관(204)[내관(內管)]이 균열관(205)과 동심원으로 배설된다. 반응관(204)은 석영 등의 내열성 재료가 사용되고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성된다. 반응관(204)의 통중공부(筒中空部)는 처리실(201)을 형성하고, 처리실(201)은 웨이퍼(200)를 수평 자세로 수직 방향에 다단으로 정렬한 상태로 보지한 보트(217)를 수용 가능하도록 구성된다.
가스 도입부(233)로부터 반응관(204)의 정점부(頂点部, 천정부의 상측)를 경유하여 버퍼 박스로서의 버퍼(제1 버퍼)부(232)에 이르기까지 반응관(204)의 외벽면을 따라 가스 공급관(연결부)으로서의 세관(230)(細管)이 배설된다. 또한 버퍼부(232)가 설치되는 반응관(204)의 대칭면측 하부에는 반응관(204) 내의 분위기를 배기구(231a)로부터 배기하는 배기 포트로서의 가스 배기부(231)가 설치된다.
가스 도입부(233)의 상류측에는 도 3에 도시된 가스 유량 제어부로서의 MFC(235)(매스 플로우 컨트롤러)를 개재하여 처리 가스 공급원, 캐리어 가스 공급원 및 불활성 가스 공급원(모두 미도시)이 접속된다. MFC(235)는 처리실(201)에 공급하는 가스의 유량을 원하는 양이 되도록 원하는 타이밍에 제어하도록 구성된다. 본 실시 형태에서의 가스 공급계는 적어도 처리 가스 공급원, 캐리어 가스 공급원, 불활성 가스 공급원(모두 미도시) 및 MFC(235)로 구성된다.
또한 시퀀서(미도시)는 가스의 공급이나 정지를 밸브(미도시)를 개폐하는 것에 의해 제어하도록 구성된다. 그리고 제어부(240)는 처리실(201)에 공급하는 가스의 유량이 원하는 타이밍에 원하는 유량이 되도록 이들 MFC(235)나 시퀀서를 제어하도록 구성된다.
도 4에 제1 실시 형태에서의 반응관 구조(2040)의 상세도를 도시한다. 반응관 구조(2040)는 반응관(204), 가스 도입부(233), 버퍼부(232), 연결부인 세관(230) 및 가스 배기부(231)를 포함한다. 도 4에서는 반응관 구조(2040)의 구성을 쉽게 이해할 수 있도록 반응관(204) 자체는 투명하게 도시한다. 반응관(204)은 기판인 웨이퍼(200)가 처리되는 처리실(201)을 구성하고, 가스가 도입되는 가스 도입 포트로서의 가스 도입부(233)와 가스 배기부(231)가 하부에 각각 설치된다. 버퍼부(232)는 가스 도입부(233)와 가스 배기부(231)의 대략 대칭측(반대측)의 반응관(204)의 측면에, 반응관(204)의 천정부 근방부터 하부까지 설치된다. 버퍼부(232)는 반응관(204)의 측면의 일부분을 구성하고, 세관(230)으로부터의 가스를 일시적으로 체류시키도록 구성된다. 가스 도입부(233)에는 세관(230)이 접속된다. 버퍼부(232)는 가스 도입부(233)와 세관(230)을 개재하여 연통되고, 세관(230)과 반응관(204)의 천정부에서 접속된다.
또한 버퍼부(232)는 처리실(201)과의 경계에 가스가 분출하는 개구부로서의 복수의 가스 공(232a)(孔)이 설치된다. 이 가스 공(232a)은 버퍼부(232)의 상단부부터 하단부에 이르기까지 설치되고, 이 가스 공(232a)으로부터 처리실(201)에 가스를 공급하도록 구성된다. 가스 공(232a)에 대하여 반대측의 반응관(204)의 하부에는 가스 배기부(231)가 설치되고, 처리실(201)로부터 가스를 배출하도록 구성된다. 이와 같은 구성에 의해서, 웨이퍼(200)의 표면에 대하여 평행된 가스의 흐름(사이드 플로우)을 형성할 수 있다. 여기서 천정부는 버퍼부(232)보다 상측의 반응관(204) 부분을 말한다.
또한 도 4에 도시된 바와 같이 가스 도입부(233), 가스 공(232a)을 포함하는 버퍼부(232), 가스 도입부(233)와 버퍼부(232)를 연결하는 세관(230) 및 가스 배기부(231)는 반응관(204)에 각각 일체적으로 설치된다. 특히 세관(230)은 반응관(204)의 하부부터 반응관(204)의 정점부 부근을 경유하여 버퍼부(232)와 접속되는 천정부까지 반응관(204)의 외벽면을 따라서 설치된다. 예컨대 가스 도입부(233) 및 세관(230)은 반응관(204)의 외벽면을 따르도록 용접 가공된다. 또한 세관(230)은 복수의 관으로 구성해도 좋고, 또한 반드시 반응관(204)의 외벽면에 접하지 않아도 좋다.
이 반응관 구조(2040)의 구성에 의하면, 반응관(204)의 하부와 일체적으로 설치된 가스 도입부(233)부터 천정부를 개재하여 버퍼부(232)에 가스가 흐르고, 버퍼부(232)에서 일시적으로 가스가 체류되는 동안 가스는 연속적으로 히터(206)에 의해 가열된다. 그리고 히터(206)에 의해 고온이 된 가스가 버퍼부(234)로부터 처리실(201)에 공급된다. 따라서 공급되는 가스의 온도와 처리실(201)을 구성하는 부품[SiC 부품, 석영 부품, 웨이퍼(200)]과의 온도 차이가 작아져, 이 온도 차이에 기인하는 파티클이 저감된다. 여기서 세관(230)의 형상도 본 실시 형태에 한정되지 않고, 예컨대 나선 형상이나 똬리를 트는 듯한 형상이어도 좋다.
버퍼부(232)는 반응관(204)의 측면에 설치되고, 가스를 일시적으로 체류시켜서 압력을 조정하고, 각각의 가스 공(232a)으로부터 균일하게 가스를 공급하도록 구성된다. 또한 버퍼부(232) 상하 사이에서 가스가 균일하게 흐르도록 가스 유량, 노내(爐內) 압력, 온도 등의 조건에 의해 해석하고, 버퍼부(232)의 용적, 가스 공(232a)의 최적화가 수행된다.
도 5에 도시된 바와 같이 버퍼부(232)는 반응관(204)의 측면의 일부분(일단)을 구성하고, 반응관(204)의 측면의 타부분(타단)측에 가스 배기부(231)가 설치된다. 버퍼부(232)의 배치 및 가스 공(232a)의 개구 방향은 웨이퍼(200)의 중심을 향해서 가스가 흐르도록 반응관(204)의 하부의 가스 배기부(231)와 웨이퍼(200)의 중심을 축으로 대칭의 위치에 설치된다. 또한 버퍼부(232)에 설치된 가스 공(232a)은 보트(217)의 피치에 맞춰지고, 웨이퍼(200) 사이에 가스가 분출하도록 설치된다. 또한 버퍼부(232)[가스 공(232a)]와 가스 배기부(231)는 도 5에 도시된 바와 같이 위로부터 보았을 때 직선 형상이 되는 위치에 배설되는 것이 바람직하다. 또한 가스 공(232a)의 공 지름은 φ1mm 내지 φ3mm 정도다. 또한 반응관 구조(2040)은 가스 공(232a)이 설치되는 면과 반응관(204)의 벽면이 일치하도록 구성해도 좋고, 버퍼부(232)의 외벽면과 반응관(204)의 벽면이 일치하도록 구성해도 좋다.
또한 도 10을 이용하여 버퍼부(232) 및 가스 공(232a)을 주로 설명한다. 도 10에 반응관(204) 내에 보트(217)를 장입(裝入)했을 때의 처리로(202)를 도시한다. 또한 웨이퍼(200)는 설명을 위해서 일부만 표시하고, 화살표는 처리 가스의 흐름을 나타낸다. 또한 가스 공(232a)은 210개 있고, 그 공 지름은 φ1mm다.
보트(217)의 기판 처리 영역(기판 보지 영역)은 웨이퍼(200)를 지지하는 지지부의 간격(피치)이 6.3mm로 이루어지고, 가스 공(232a)의 간격도 이 피치에 맞춰서 6.3mm로 이루어진다. 또한 가스 공(232a)은 기판 처리 영역의 상단보다 상측에 설치되고, 가스를 공급할 수 있다. 한편, 가스 공(232a)은 기판 처리 영역의 하단보다 하측에도 설치된다. 이처럼 도 10에 도시된 머지(merge) 영역(본 실시예에서는 기판 처리 영역의 상단 및 하단에서의 피치의 길이 곱하기 2공 분량의 폭)에 같은 피치(6.3mm)로 가스를 공급 가능하도록 구성된다.
기판 처리 영역(기판 보지 영역)의 상단보다 상측의 머지 영역에 가스 공(232a)으로부터 가스를 공급할 수 있기 때문에 보트(217)보다 상측 공간의 가스 정체를 최대한 없앨 수 있고, 기판 처리 영역 상단에서의 파티클을 억제할 수 있다.
보트(217)의 단열 영역(단열판 보지 영역)은 단열판 사이의 피치가 14mm로 이루어지고, 가스 공(232a)의 간격도 이 피치에 맞춰서 14mm로 이루어진다. 그리고 가스 공(232a)의 최하단은 가스 배기부(231)에 대향하는 위치에 설치된다. 가스 배기부(231)의 한층 더 아래에는 보지체(257)가 있고, 버퍼부(232)를 한층 더 아래로 늘리는 것은 제작 상 곤란하여 가스 배기부(231)의 위치까지로 한다.
이와 같이 보트(217)의 하단[가스 배기부(231)에 대향하는 위치]에도 가스 공(232a)을 설치해서 가스를 공급할 수 있기 때문에 보트(217)의 하단의 가스 정체를 없앨 수 있다. 특히 단열 영역이라도 가스 공(232a)으로부터 가스를 처리실(201)에 공급하고, 단열판의 표면에 대하여 평행된 가스의 흐름을 형성할 수 있다. 이와 같이 기판 처리 영역과 마찬가지의 가스 흐름을 형성할 수 있기 때문에 기판 처리 영역 하단의 가스 정체에 기인하는 파티클을 억제할 수 있다.
또한 도 11에 도시된 바와 같이 버퍼부(232)의 하부에는 개구 구멍(332)이 설치된다. 반응관(204)을 세정할 때에 버퍼부(232) 내의 세정수가 쉽게 빠지도록 버퍼부(232)의 하부에 개구 구멍(332)을 설치하는 것에 의해 메인터넌스 효율이 향상된다. 또한 구멍 지름은 φ2mm로 두 군데에 설치된다.
다음으로 도 6을 이용하여 가스 배기부(231)의 내부에 관해서 설명한다. 도 6에 도시된 바와 같이 제1 실시 형태에서의 가스 배기부(231)의 내부에는 인서트로서의 보호관을 설치한다. 보호관도 반응관(204)과 마찬가지로 석영 부재다. 이 보호관은 내부에 테이퍼가 수행되고, 배기구(231a)로부터 가스 배기부(231)의 하류측을 향해서 유로 단면적이 작아지고, 보호관의 중심 부분[가스 배기부(231) 내의 중심 부분]의 유로 단면적을 작게 한다. 또한 내부에 테이퍼가 수행되고, 가스 배기부(231)의 배기측(하류측)에 갈수록 유로 단면적이 커지도록 구성된다. 예컨대 보호관의 가장 큰 지름은 φ24mm이며, 배기구(231a)의 지름과 거의 같은 지름이다. 보호관의 가장 작은 지름은 φ10mm다.
이 보호관을 설치하는 것에 의해 배기 배관(229)측으로부터의(압력 변동 등에 의한) 역확산에 의한 파티클을 억제하기 위해서 처리실(201)측이 배기측의 압력보다 더 커질 수 있는 압력 차이를 둘 수 있다. 또한 가스 배기부(231)에 생성물이 부착된 경우, 반응관(204)을 제거하지 않고 보호관을 교환하는 것만으로 대응이 가능하기 때문에 메인터넌스성이 향상된다. 또한 가스 배기부(231)에 생성물이 부착되어 퇴적하고 막 응력에 의해 석영의 표면에 마이크로 크랙이 발생해도 반응관(204)의 일부를 구성하는 가스 배기부(231)가 보호관에 의해 피복되어 있으므로 결과적으로 반응관(204)의 수명 주기를 늘릴 수 있다.
특히 산화 및 확산 장치나 어닐링 장치는 고온으로 기판을 처리하거나, 또한 염소계(HCL, DCE 등)의 가스를 사용하는 경우도 있기 때문에, 처리로(202)의 내부[반응관(204), 보지체(257) 등]는 석영 부품으로 피복되어 있다. 이에 의해 기판 처리에 의한 금속 오염을 방지할 수 있다.
반응관(204)의 하단부에는 반응관(204)의 하단 개구를 기밀하게 폐색 가능한 베이스 플랜지로서의 보지체(257)와, 개체(219)가 설치된다. 개체(219)는 예컨대 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성된다. 보지체(257)는 예컨대 석영으로 이루어지고, 원반 형상으로 형성되고 개체(219) 상에 설치된다. 보지체(257)의 상면에는 반응관(204)의 하단과 당접(當接)하는 씰 부재로서의 O링(220)이 설치된다.
개체(219)의 처리실(201)과 반대측에는 보트(217)를 회전시키는 회전 기구(254)가 설치된다. 회전 기구(254)의 회전축(255)은 개체(219) 및 보지체(257)를 관통하여 단열통(218)과 보트(217)에 접속되고, 단열통(218) 및 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다.
개체(219)는 반응관(204)의 외부에 수직으로 설비된 승강 기구(115)에 의해 수직 방향으로 승강되도록 구성되고, 이에 의해 보트(217)를 처리실(201)에 대하여 반입 반출하는 것이 가능하도록 이루어진다. 회전 기구(254) 및 승강 기구(115)에는 구동 제어부(237)가 전기적으로 접속되고, 원하는 동작을 하도록 원하는 타이밍에 제어하도록 구성된다.
보트(217)는 예컨대 석영이나 탄화규소 등의 내열성 재료로 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세로 또한 서로 중심을 맞춘 상태로 정렬시켜서 보지하도록 구성된다. 보트(217)의 하방(下方)에는 예컨대 석영이나 탄화규소 등의 내열성 재료로 이루어지는 원통 형상을 한 단열 부재로서의 단열통(218)이 보트(217)를 지지하도록 설치되고, 히터(206)로부터의 열이 반응관(204)의 하단측에 전달되기 어렵도록 구성된다.
균열관(205)과 반응관(204) 사이에는 온도 계측기로서의 온도 센서(263)가 설치된다. 히터(206)와 온도 센서(263)에는 전기적으로 온도 제어부(238)가 접속되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(206)로의 통전 상태를 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 원하는 타이밍에 제어하도록 구성된다.
도 3에 도시된 바와 같이 가스 배기부(231)에는 가스 배기관(229)이 접속된다. 가스 배기관(229)의 하류측에는 APC 밸브를 적어도 포함하는 압력 조정 장치(242)가 접속된다. 이들은 배기계의 일부를 구성한다. 또한 압력 제어부(236)는 압력 조정 장치(242)와 전기적으로 접속되고, 처리실(201) 내의 압력이 소정의 압력이 되도록 배기계를 제어한다.
도 9에 본 실시 형태에서의 배기계의 상세도를 도시한다. 도 9에 도시된 바와 같이 배기계는 배기 배관(229)과 압력 조정 장치(242)와 상기 압력 조정 장치(242) 내의 가스를 흡인하는 배기 장치로서의 이젝터(246)를 적어도 포함하는 구성이다. 압력 제어부(236)는 압력 센서(미도시)에 의해 검지된 압력값에 기초하여 처리실(201)의 압력과 상기 배기계의 압력 차이를 소정의 압력 차이로 보지하도록, APC 밸브의 개도(開度) 및 배기 장치(246)의 동작을 제어하도록 구성된다.
배기 배관(229)의 하류측에는 냉각부로서의 가스 쿨러(GC)가 설치되고, 처리실(201)로부터 배기된 가스를 냉각하도록 구성된다. 냉각에 의해 액화한 가스는 에어 밸브(AV)(56)를 개재하여 탱크에 저장되고 배출되도록 구성된다.
압력 조정 장치(242)의 상류측에 처리실(201) 내의 과가압(過加壓) 센서로서의 과가압 방지용 압력 스위치(PS)가 배기 배관(229)에 설치된다. 압력 스위치(PS)로 배기 배관(229) 내의 압력이 소정 압력 이상이 되면 압력 스위치(PS)가 압력 제어부(236)에 통지되고, 압력 제어부(236)는 에어 밸브(53)를 열림(開)으로 한다. 그러면 에어 밸브(53)를 개재하고 나서도 배기측에 가스가 배기되므로 처리실(201) 내의 압력이 목표 압력으로 보지된다.
압력 조정 장치(242)는 압력 센서(미도시)에 의해 검지된 압력에 기초하여 밸브의 개도를 조정한다. 또한 압력 조정 장치(242) 내에서 가스가 액화해도 에어 밸브(54)를 개재하여 탱크에 흘러 낙하하도록(流落) 구성된다. 또한 에어 밸브(51)에 의해 희석 가스로서 불활성 가스(N2 가스)를 압력 조정 장치(242)에 공급할 수 있고, 또한 APC 밸브의 개도 조정용으로서도 기능한다.
배기 장치(246)는 처리실(201)의 압력과 배기계의 압력 차이를 소정의 압력까지 차이를 두기 위해서 설치된 장치다. 또한 에어 밸브(51)를 열림으로 하여 희석용 N2 가스가 공급되는 것과 함께 배기 능력의 조정용으로서 이용할 수 있다.
압력 조정 장치(242)와 배기 장치(246)의 조합에 의해 -10KPaG(Kilopascal Gauge)까지 배기할 수 있고, 배기 능력의 부족을 해소한다. 또한 배기 장치(246)는 처리실(201)의 압력을 낮추는 것에 의해 웨이퍼(200)로부터의 아웃 가스를 처리실(201) 외로 단시간에 방출할 수 있다. 배기 장치(246)는 처리실(201)과의 압력 차이를 크게 할 수 있으므로, 배기 배관(229)측에 축적된 파티클의 처리실(201)측으로의 역확산을 억제할 수 있다.
온도 제어부(238)에는 주로 히터(206) 및 온도 센서(263)에 의해 구성되는 가열부가 접속된다. 온도 제어부(238)는 히터(206)의 전력을 제어하는 것에 의해 처리로(202) 내[처리실(201)]의 온도를 조절하도록 구성된다. 또한 온도 제어부(238)는 사이리스터(thyristor)의 스위칭(ON/OFF) 제어를 수행하고, 히터 소선(素線)에 공급하는 전력을 제어하도록 구성된다.
또한 MFC(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238)는 기판 처리 장치 전체를 제어하는 제어부(240)에 전기적으로 접속된다. 이들 MFC(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238)는 서브 제어부를 구성한다. 또한 제어부(240)는 이 서브 제어부를 포함하는 구성으로 해도 좋다.
(제2 실시 형태)
도 7 및 도 8을 주로 이용하여 제2 실시 형태에 대해서 설명한다.
도 7 및 도 8에 도시된 제2 실시 형태와 도 4 및 도 5에 도시된 제1 실시 형태의 차이점은 가스 배기부(231)에 버퍼 박스로서의 버퍼(제2 버퍼)부(234)를 설치한 점이다. 따라서 여기서는 버퍼부(234)와 관련된 부분만 설명하고, 제1 실시 형태와 구성이 동일하여 설명이 중복되는 부분에 대해서는 설명을 생략한다.
우선, 도 4와 도 7에 각각 도시된 제1 실시 형태의 반응관 구조(2040)와 제2 실시 형태의 반응관 구조(2040')를 비교하면, 제2 실시 형태의 반응관 구조(2040')는 가스 공급측의 버퍼부(232) 및 가스 공(232a)의 배치는 제1 실시 형태의 반응관 구조(2040)와 마찬가지이며, 가스 배기부(231)에 버퍼부(234)가 추가로 설치되고, 처리실(201)과 가스 배기부(231) 사이에 버퍼부(234)가 구성된다는 점이 차이가 있다. 상기 버퍼부(234)에는 처리실(201)측에 복수의 가스 배기구(234a)가 각각 설치되고, 처리실(201)측의 반대측 하부에 가스 배기부(231)가 설치된다. 제2 실시예에 따른 반응관 구조(2040')의 다른 구성은 제1 실시예와 동일하다. 이후, 버퍼부(234)를 포함해서 가스 배기부(231)로서 설명한다.
도 8에 도시된 바와 같이 버퍼부(234)는 버퍼부(232)가 반응관(204)의 측면의 일부분(일단)을 구성하는 것에 대해 반응관(204)의 측면의 타부분(타단)을 구성하고, 웨이퍼(200)의 중심을 축으로 하여 대칭이 되도록 설치된다. 이에 의해 버퍼부(234)는 처리실(201)로부터 배출되는 가스를 일시적으로 체류시켜서 배기 압력을 조정하기 쉽도록 이루어진다.
복수의 가스 배기구(234a)도 가스 공(232a)과 마찬가지로 버퍼부(234)의 상단부부터 하단부에 이르기까지 설치되고, 버퍼부(234) 및 가스 배기구(234a)는 버퍼부(232) 및 가스 공(232a)과 높이 위치를 맞춰서 각각 설치된다. 따라서 제1 버퍼부(232)의 상단부부터 하단부까지 설치된 가스 공(232a)으로부터 처리 가스가 처리실(201)에 도입되고, 제2 버퍼부(234)의 상단부부터 하단부까지 설치된 가스 배기구(234a)로부터 배기된 처리 가스가 가스 배기부(231)로부터 배출되도록 구성된다.
또한 가스 배기구(234a)는 가스 공(232a)과 웨이퍼(200)의 중심을 축으로 하여 대칭이 되는 위치에 보트(217)의 피치에 맞춰서 설치된다. 이에 의해 웨이퍼(200) 사이에 가스 공(232a)으로부터 분출된 가스를 가스 배기구(234a)를 개재하여 처리실(201)로부터 원활하게 배출하도록 구성된다. 이와 같은 구성이기에 웨이퍼(200)의 표면에 대해 평행된 가스의 흐름을 형성할 수 있다.
또한 복수의 가스 배기구(234a)도 가스 공(232a)과 마찬가지로 보트(217)의 기판 처리 영역에 대향하는 위치와 단열 영역에 대향하는 위치와 다른 간격으로 형성되기 때문에, 가스 공(232a)으로부터 단열 영역에 공급되는 가스도 가스 배기구(234a)를 개재하여 처리실(201)로부터 원활하게 배출되도록 구성된다.
또한 공 지름은 φ1mm 내지 5mm 정도다. 또한 반응관(204)은 가스 배기구(234a)가 설치되는 면과 반응관(204)의 벽면이 일치하도록 구성해도 좋고, 버퍼부(234)의 외벽면과 반응관(204)의 벽면이 일치하도록 구성해도 좋다.
버퍼부(232, 234) 상하 사이에서 가스가 균일하게 흐르도록 제1 실시 형태와 마찬가지로 가스 유량, 노내 압력, 온도 등의 조건에 의해 해석하고, 버퍼부(232, 234)의 용적, 가스 공(232a) 및 가스 배기구(234a)의 개구(공 지름)를 최적화한다.
도 5에 도시된 제1 실시예의 반응관 구조(2040)가 배치된 처리실(201)과 도 8에 도시된 제2 실시예의 반응관 구조(2040')가 배치된 처리실(201)을 비교하면 다음과 같다. 버퍼부(232)의 배치 및 가스 공(232a)의 개구 방향은 웨이퍼(200) 중심을 향해서 가스가 흐르도록 구성된다. 즉, 도 8에 도시된 바와 같이 가스 공(232a)과 가스 배기구(234a)는 직선 형상으로 배치된다. 이 구성에 의해 웨이퍼(200)의 표면에 대해 평행된 가스의 유속을 높일 수 있고, 가스를 원활하게 배출할 수 있다.
도 8에 도시된 바와 같이 처리실(201)로부터 배기되는 가스는 반드시 버퍼부(234)에 설치된 가스 배기구(234a)를 통과하는 구성으로 이루어진다. 이러한 구성에 의해 버퍼부(234)가 설치되므로, 배기 배관(229)측으로부터의 역확산에 의한 파티클을 억제할 수 있다. 또한 처리실(201)측이 배기측의 압력보다 커질 수 있는 압력 차이를 둘 수 있다.
또한 제2 실시 형태의 반응관 구조(2040')가 배치된 처리실(201)은 제1 실시 형태와 마찬가지로 도 9에 도시된 배기계를 구성하고, 제1 실시 형태와 마찬가지로 도 10에 도시된 가스 공급측의 버퍼부(232)를 구성한다. 또한 제2 실시 형태이어도 배기부(231) 내에 보호관을 설치해도 좋다.
다음으로 기판 처리 장치(100)에 따른 처리로(202)를 이용하여 반도체 장치의 제조 공정의 일 공정으로서 웨이퍼(200)에 산화, 확산 등의 처리(특히 PYRO, DRY산화, 어닐링 등의 처리)를 수행하는 방법에 대해서 설명한다. 이하의 설명에서 기판 처리 장치(100)를 구성하는 각(各) 부(部)의 동작은 제어부(240)에 의해 제어된다. 여기서는 제1 실시 형태에 기초하여 설명한다.
복수 매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차징)되면, 복수 매의 웨이퍼(200)를 보지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201)에 반입(보트 로딩)된다. 이 상태에서 개체(219)는 보지체(257), O링(220)을 개재하여 반응관(204) 하단을 밀폐한 상태가 된다.
처리실(201) 내의 압력은 도 9에 도시된 압력 조정 장치(242) 및 배기 장치(246)에 의해 소정의 압력으로 제어된다. 또한 처리실(201) 내가 원하는 온도가 되도록 히터(206)에 의해 가열된다. 이때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(206)로의 통전 상태가 피드백 제어된다. 계속해서 회전 기구(254)에 의해 단열통(218), 보트(217)가 회전되는 것에 의해 웨이퍼(200)가 회전된다.
이어서 처리 가스 공급원 및 캐리어 가스 공급원(모두 미도시)으로부터 공급되고 MFC(235)에 의해서 원하는 유량이 되도록 제어된 가스는 가스 도입부(233)에 도입된다. 반응관(204)의 하부의 가스 도입부(233)에 도입된 가스는 세관(230) 내를 유통하여 반응관(204)의 천정부를 개재하고 나서 측면에 설치된 버퍼부(232)에 도입되고, 이 버퍼부(232)를 개재하여 복수의 가스 공(232a)으로부터 처리실(201)에 도입된다.
도 5에 도시된 바와 같이 복수의 가스 공(232a)으로부터 분출된 가스는 처리실(201) 내를 통과할 때 웨이퍼(200)의 표면과 접촉하고, 웨이퍼(200)에 대하여 산화, 확산 등의 처리가 이루어진다. 이때 보트(217)가 회전되는 것에 의해 웨이퍼(200)도 회전되므로 가스는 웨이퍼(200)의 표면과 전체적으로 접촉한다. 도 5에 도시된 바와 같이 버퍼부(232)가 반응관(204)의 측면에 설치되고, 버퍼부(232)와 가스 배기부(231)가 대향하도록 구성되고, 도 10에 도시된 바와 같이 가스 공(232a)이 웨이퍼(200)들의 사이에 배치되도록 가스 공(232a) 사이에 피치가 설치되므로, 웨이퍼(200) 사이에 공급되는 가스가 균등해지도록 공급된다.
또한 도 9에 도시된 배기 장치(246)에 의한 배기에 의해 복수의 가스 공(232a)으로부터 균등한 유량의 가스가 소정의 유속으로 처리실(201)에 각각 공급되도록 구성된다. 이에 의해 예컨대 열처리 중인 아웃 가스를 신속하게 배기계에 배기하는 것이 가능해진다.
또한 웨이퍼(200)에 대하여 수증기를 이용한 처리를 수행하는 경우에는 MFC(235)에 의해 원하는 유량이 되도록 제어된 가스는 수증기 발생 장치(미도시)에 공급되고, 수증기 발생 장치로 생성된 수증기(H2O)를 포함하는 가스가 처리실(201)에 도입된다.
미리 설정된 처리 시간이 경과하면, 불활성 가스 공급원으로부터 불활성 가스가 공급되고, 처리실(201) 내가 불활성 가스로 치환되는 것과 함께, 처리실(201) 내의 압력이 상압으로 복귀된다.
그 후, 씰 캡(219)이 보트 엘리베이터(115)에 의해 하강되고 반응관(204)의 하단이 개구되는 것과 함께, 처리 완료된 웨이퍼(200)가 보트(217)에 보지된 상태에서 반응관(204)의 하단으로부터 반응관(204)의 외부로 반출(보트 언로딩)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)에 의해 취출(取出)된다(웨이퍼 디스차징).
(실험예)
도 12 내지 도 14를 참조하여 종래의 반응관, 제1 실시예의 반응관 구조(2040)와 제2 실시예의 반응관 구조(2040')를 실험하고 비교 검증한 결과를 설명한다.
도 12는 웨이퍼(200)의 중심부에 대한 시뮬레이션 결과이며, 횡축은 유속(Velocity), 종축은 보트 슬롯 번호(Boat Slot [#])이다. 보트 슬롯 번호는 보트(217)에 설치되는 지지부에 번호를 각각 부가한 것이며, 임의로 설정된다. 도 12에 도시된 바와 같이 종래의 반응관에서는 유속이 0.1mm/sec 내지 0.4mm/sec(0.0001m/sec 내지 0.0004m/sec), 제1 실시 형태에서의 반응관 구조(2040)에서는 11mm/sec 내지 24mm/sec(0.011m/sec 내지 0.024m/sec), 제2 실시 형태에서의 반응관 구조(2040')에서는 16mm/sec 내지 36mm/sec(0.016m/sec 내지 0.036m/sec)이었다. 이와 같이 본 실시 형태에 의하면, 종래에 비해 웨이퍼면의 유속이 현격히 커졌다. 아웃 가스 대책이라면, 제2 실시 형태가 가장 적합한 것으로 판단된다.
도 13 및 도 14는 보트(217)의 기판 처리 영역에 노내 온도 600℃, 노내 압력 1013hPa로 가스종은 NH3로 충만시킨 후, N2(20slm)로 희석해서 시간 경과 후의 NH3 농도를 시뮬레이션 한 결과다. 도 13은 종축이 보트 슬롯 번호이고, 횡축이 NH3 농도다. 도 14는 종축이 NH3 농도이고, 횡축이 가스 도입부로부터의 거리다. 여기서 시뮬레이션으로 NH3 농도를 모니터 하는 이유는 N2 등의 다른 가스와 비교해서 확산하기 어려운 가스이기 때문이다.
도 13에 도시된 바와 같이 기판 처리 영역에서 종래의 반응관에서는 잔류 NH3 농도가 25% 내지 75%이었던 것에 대해, 제1 실시 형태에서의 반응관 구조(2040)에서는 잔류 NH3 농도가 15% 내지 30%, 제2 실시 형태에서의 반응관 구조(2040)에서는 잔류 NH3 농도가 35% 내지 50%라는 결과였다. 제1 실시 형태의 반응관 구조(2040)가 가스 배출 효과에 가장 적합한 것으로 판단된다.
또한 도 14에 도시된 바와 같이 웨이퍼 면내(가스 도입측 내지 가스 배기측)의 가스 농도는 종래의 반응관에서는 잔류 NH3 가스 농도가 40% 내지 70%이고, 제1 실시 형태에서의 반응관 구조(2040)에서는 잔류 NH3 농도가 30% 내지 40%, 제2 실시 형태에서의 반응관 구조(2040')에서는 잔류 NH3 농도가 40% 내지 100%라는 결과였다. 공급측(제1 버퍼측)은 잔류 NH3 농도가 낮지만, 배기측(제2 버퍼측)의 가스 배기구(234a) 부근에서 가스 정체가 발생해 배기측의 농도가 한층 더 높아졌다.
이상으로부터 웨이퍼(200) 면내의 유속 및 아웃 가스에 의한 파티클 저감 양방(兩方)에 효과적인 제1 실시 형태에서의 반응관 구조(2040)가 바람직하다.
(다른 실시 형태)
도 14에 도시된 실험에서 제2 실시 형태에서 배기측(제2 버퍼측)의 가스 배기구(234a) 부근에서의 가스 정체의 원인은 공급측(제1 버퍼측)의 가스 공(232a)과 배기측(제2 버퍼측)의 가스 배기구(234a)가 도 8에 도시된 바와 같이 위로부터 보았을 때 한 쌍밖에 설치되지 않았기 때문이라고 생각된다. 그래서 제2 실시 형태에서의 제1 버퍼 및 제2 버퍼에 개량을 부가한 다른 반응관 구조에 대해서 설명한다.
가스 공(232a)의 지름보다 가스 배기구(234a)의 지름을 크게 하고, 배기측의 단면적을 크게 하는 것이 생각된다. 이에 의해 배기 효율을 향상시킬 수 있으므로 가스 배기구(234a) 부근에서의 가스 정체가 저감된다.
예컨대 제2 버퍼를 도 8에 도시된 바와 같이 위로부터 보았을 때 소정 각도로 복수 배치한다. 이에 의해 배기측의 단면적을 모두 크게 할 수 있어서 배기 효율이 향상된다. 따라서 가스 배기구(234a) 부근에서 가스 정체가 저감된다.
또한 웨이퍼(200)의 표면의 가스의 유속을 고려해서 복수의 제1 버퍼 및 복수의 제2 버퍼를 도 8에 도시된 바와 같이 위로부터 보았을 때 60° 이하의 소정 각도로 배치한다. 이에 의해 배기측(제2 버퍼측)의 가스 배기구(234a) 부근에서의 가스 정체가 저감되는 것을 기대할 수 있다.
이와 같이 본 실시 형태에서의 PYRO, DRY 산화로, 어닐링로에 의하면, 웨이퍼(200) 면내의 유속 향상 및 처리실(201)의 압력의 제어성 향상에 의해 산화막 및 어닐링 처리 후의 막의 두께 균일성이 향상된다. 또한 전술한 본 실시 형태에서는 버퍼부를 반응관(204)의 측면에 설치했지만, 반응관(204)의 측면부뿐만 아니라 종래와 같이 버퍼부를 반응관(204)의 천정부(상측)에도 한층 더 설치해도 좋다.
본 실시 형태에 의하면, 이하의 (a) 내지 (g) 중 적어도 하나 이상의 효과를 갖는다.
(a) 본 실시 형태에 의하면, 처리실에 가스를 도입하는 측에 복수의 가스 공을 구비한 버퍼 박스를 반응관의 측면과 일체화해서 포함하고, 적어도 보트의 모든 영역에 대향하는 위치에 가스 공이 설치되고, 이들 가스 공으로부터 처리실에 가스를 공급하는 것에 의해 보트의 모든 영역에서 기판과 평행한 방향의 흐름을 형성하면서 기판 표면의 가스의 유속값을 올릴 수 있기 때문에 기판으로부터의 아웃 가스에 의한 파티클을 억제할 수 있다.
(b) 본 실시 형태에 의하면, 처리실에 가스를 도입하는 측에 복수의 가스 공을 가진 버퍼 박스를 반응관의 측면과 일체화해서 포함하고, 가스 도입부로부터 이 버퍼 박스까지 가스의 온도가 상승되고, 처리실을 구성하는 부재와의 온도 차이가 작아진 상태에서 가스를 공급할 수 있고, 산화막 및 어닐링 처리 후의 막의 면내 균일성 및 면간 균일성을 향상시킬 수 있다. 또한 가스 온도와의 온도 차이에 기인하는 파티클 발생을 저감할 수 있다.
(c) 본 실시 형태에서의 사이드 플로우 반응관에 의하면, 처리실에 가스를 도입하는 측에 복수의 가스 공을 가진 버퍼 박스를 측면과 일체화해서 포함하고, 가스 공의 개구 방향은 웨이퍼 중심을 향하여 가스가 흐르도록 설치되고, 웨이퍼 중심을 축으로 하여 대칭으로 배기 포트가 설치되고, 웨이퍼 면내의 가스의 흐름을 현격히 향상시킬 수 있다. 특히 버퍼 박스(가스 공)와 배기 포트가 직선상에 배치되기 때문에 가스 유속을 높일 수 있고, 배기측으로부터의 역확산을 억제할 수 있다.
(d) 본 실시 형태에서의 사이드 플로우 반응관에 의하면, 버퍼 박스 상하 사이에서 가스가 균일하게 흐르도록 설정되고, 또한 웨이퍼 사이에 가스가 분출되도록 설치된다. 따라서 웨이퍼 면내의 가스의 흐름을 현격히 향상시키는 것이 가능해진다. 특히 공급측 버퍼 박스(가스 공)와 배기측 버퍼 박스(가스 배기구)가 직선상에 배치되고 높이 위치도 맞추고 있으므로, 웨이퍼의 표면에 평행된 가스의 흐름의 유속을 높이면서 배기 효율을 향상시킬 수 있다.
(e) 본 실시 형태에 의하면, 반응관 구조의 가스 공은 보트의 기판 처리 영역에 대향하는 위치뿐만 아니라 단열 영역에 대향하는 위치에도 설치된다. 이에 의해 보트의 상측 공간이나 단열 영역에서의 가스 정체를 방지할 수 있다. 따라서 가스 공으로부터 처리실에 공급되는 가스의 유속을 원하는 유속으로 유지할 수 있고, 단열영역에서의 가스 정체에 의한 파티클을 억제할 수 있다.
(f) 본 실시 형태에 의하면, 압력 조정 장치와 배기 장치의 조합에 의해 배기 능력이 현격히 향상되었으므로, 웨이퍼 상에 발생하는 아웃 가스를 처리실 외로 단시간에 배기할 수 있다. 따라서 아웃 가스에 기인하는 파티클을 억제할 수 있다. 또한 처리실을 감압으로 할 수 있고, 큰 압력 차이를 둘 수 있으므로, 배기 배관측에 축적된 파티클이 반응실 내에 역확산되지 않도록 억제할 수 있다.
(g) 본 실시 형태에서의 사이드 플로우 반응관에 의하면, 처리실로부터 가스를 배출하는 측에 복수의 가스 배기구를 가진 버퍼 박스(배기측 버퍼 박스)를 반응관의 측면과 일체화해서 포함하고, 처리실과 배기 포트 사이에 배기측 버퍼 박스를 포함하는 구성으로 이루어진다. 따라서 배기 배관측에 축적된 파티클이 배기 버퍼 박스를 개재하여 가스 배기구로부터 처리실에 도입할 수 있는 구성으로 이루어져 있기 때문에, 배기측으로부터의 역확산을 억제할 수 있다.
또한 본 발명은 이상의 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다는 것은 말할 필요도 없다.
본 발명의 실시 형태에서는 웨이퍼를 처리하는 경우에 대해서 설명했지만, 본 발명은 액정 패널의 유리 기판이나 자기(磁氣) 디스크나 광(光) 디스크 등의 기판을 처리하는 기판 처리 장치 전반에 적용할 수 있다.
100: 처리 장치(기판 처리 장치) 200: 웨이퍼(기판)
202: 처리로 204: 반응관(내관)
217: 보트(보지구)

Claims (17)

  1. 반응관 구조를 구비하는 기판 처리 장치로서,
    상기 반응관 구조는,
    기판이 처리되는 처리실을 한정하는 반응관;
    상기 반응관의 하부에 설치되고, 처리 가스가 도입되는 가스 도입부;
    상기 반응관의 측면의 일단(一端)을 구성하고, 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부(開口部)가 설치되는 버퍼부;
    상기 가스 도입부와 상기 버퍼부 사이에 설치되고, 상기 가스 도입부부터 상기 버퍼부까지 연통시키는 연결부; 및
    상기 반응관의 측면의 타단(他端)의 하단부를 구성하고, 상기 처리실로부터 상기 처리 가스를 배출하는 가스 배기부
    를 포함하고,
    상기 버퍼부의 상단부로부터 상기 가스 배기부에 대향하는 위치까지 설치된 상기 개구부로부터 상기 처리실에 도입되고, 상기 처리실을 개재하여 상기 가스 배기부로부터 상기 처리 가스가 배출되도록 구성되는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 가스 배기부에 설치되며, 상기 가스 배기부의 배기관의 지름보다 작은 지름을 가지는 유로가 내재하는 보호관
    을 더 포함하는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 연결부는 상기 가스 도입부와 접속하는 상기 반응관의 하부로부터 상기 반응관의 정점 부근을 경유하여 상기 버퍼부와 접속되는 상기 반응관의 천정부까지 상기 반응관의 외벽면을 따라 설치되도록 구성되는 기판 처리 장치.
  4. 제1항에 있어서,
    상기 연결부는 적어도 상기 버퍼부와 접속할 때까지 복수의 관으로 구성되는 기판 처리 장치.
  5. 제1항에 있어서,
    상기 개구부는 복수의 가스 공급공으로서, 상기 버퍼부의 상단부부터 하단부에 이르기까지 소정 간격으로 형성되는 기판 처리 장치.
  6. 제1항에 있어서,
    상기 기판이 지지되는 지지부를 구비한 기판 보지부(保持部)를 더 포함하고,
    상기 개구부는 상기 지지부의 간격과 같은 간격으로, 상기 기판과 상기 기판 사이에 배치되도록 형성되는 기판 처리 장치.
  7. 제5항에 있어서,
    상기 개구부는 상기 기판 보지부의 기판 처리 영역의 상단보다 상측의 영역으로부터 상기 가스 배기부에 대향하는 위치까지 설치되고, 상기 기판 보지부의 기판 처리 영역에 대향하는 위치와 단열 영역에 대향하는 위치에서 다른 간격으로 형성되는 기판 처리 장치.
  8. 제1항에 있어서,
    밸브 개도(開度)에 의해 압력을 조정하는 압력 조정 장치 및 상기 압력 조정 장치 내의 가스를 흡인하는 배기 장치를 구비한 배기계; 및
    상기 처리실과 상기 배기계 사이의 압력 차이를 보지하도록 상기 압력 조정 장치 및 상기 배기 장치를 제어하는 제어부
    를 더 포함하는 기판 처리 장치.
  9. 제8항에 있어서,
    상기 배기계는 상기 처리실의 과가압(過加壓) 방지용 센서를 더 구비하고,
    상기 제어부는 상기 센서가 상기 처리실의 과가압을 검지하면 상기 처리실로부터 배기된 가스를 상기 압력 조정 장치 및 상기 배기 장치를 개재하지 않고 배기하도록 구성되는 기판 처리 장치.
  10. 제1항에 있어서,
    상기 반응관 구조는, 상기 반응관의 측면의 타단을 구성하고, 상기 가스 배기부에 연통되는 제2 버퍼부를 더 포함하고,
    상기 제2 버퍼부의 상기 처리실측에 설치되는 가스 배기구는 상기 반응관의 일방(一方)의 단(端)을 구성하는 상기 버퍼부의 상기 개구부와 기판의 중심을 축으로 하여 대칭이 되도록 배치되는 기판 처리 장치.
  11. 제10항에 있어서,
    상기 기판이 지지되는 지지부를 구비한 기판 보지부를 더 포함하고,
    상기 가스 배기구는 상기 지지부의 간격과 같은 간격으로 상기 기판과 상기 기판 사이에 배치되도록 형성되는 기판 처리 장치.
  12. 제10항에 있어서,
    상기 기판이 지지되는 지지부를 구비한 기판 보지부를 더 포함하고,
    상기 가스 배기구는 상기 기판 보지부의 기판 처리 영역에 대향하는 위치와 단열영역에 대향하는 위치와 다른 간격으로 형성되는 기판 처리 장치.
  13. 기판이 처리되는 처리실을 한정하는 반응관;
    상기 반응관의 하부에 설치되고, 처리 가스가 도입되는 가스 도입부;
    상기 반응관의 측면의 일단을 구성하고, 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부가 설치되는 버퍼부;
    상기 가스 도입부와 상기 버퍼부 사이에 설치되고, 상기 가스 도입부부터 상기 버퍼부까지 연통시키는 연결부; 및
    상기 반응관의 측면의 타단의 하단부를 구성하고, 상기 처리실로부터 상기 처리 가스를 배출하는 가스 배기부
    를 포함하고,
    상기 버퍼부의 상단부로부터 상기 가스 배기부에 대향하는 위치까지 설치된 상기 개구부로부터 상기 처리실에 도입되고, 상기 처리실을 개재하여 상기 가스 배기부로부터 상기 처리 가스가 배출되도록 구성되는 반응관 구조.
  14. 기판이 처리되는 처리실을 한정하는 반응관;
    상기 반응관의 하부에 설치되고, 처리 가스가 도입되는 가스 도입부;
    상기 반응관의 측면의 일단을 구성하고, 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부가 설치되는 제1 버퍼부;
    상기 가스 도입부와 상기 제1 버퍼부 사이에 설치되고, 상기 가스 도입부부터 상기 제1 버퍼부까지 연통시키는 연결부; 및
    상기 반응관의 측면의 하단부에 설치되고, 상기 반응관의 측면의 타단을 구성하고 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실로부터 상기 처리 가스를 배기하는 가스 배기구를 포함하는 제2 버퍼를 개재하여 상기 처리실로부터 상기 처리 가스를 포함하는 가스를 배출하는 가스 배기부
    를 포함하는 반응관 구조.
  15. 제14항에 있어서,
    상기 제1 버퍼부의 상단부부터 상기 제1 버퍼부의 하단부까지 설치된 상기 개구부로부터 상기 처리 가스가 상기 처리실에 도입되고, 상기 제2 버퍼부의 상단부부터 상기 제2 버퍼부의 하단부까지 설치된 상기 가스 배기구로부터 배기된 상기 처리 가스가 상기 가스 배기부로부터 배출되도록 구성되는 반응관 구조.
  16. 기판이 처리되는 처리실을 한정하는 반응관과, 처리 가스가 도입되는 가스 도입부와, 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부가 설치되는 버퍼부와, 상기 가스 도입부와 상기 버퍼부 사이에 설치되고 상기 가스 도입부부터 상기 버퍼부까지 연통시키는 연결부와, 상기 반응관의 측면의 하단부에 설치되고 상기 처리실로부터 상기 처리 가스를 포함하는 가스를 배출하는 가스 배기부를 포함하고, 상기 버퍼부의 상단부부터 상기 가스 배기부에 대향하는 위치까지 설치된 상기 개구부로부터 상기 처리실에 도입되고, 상기 처리실을 개재하여 상기 가스 배기부로부터 배출되도록 구성되는 반응관 구조 내에 상기 기판을 반입하는 공정;
    상기 반응관 구조 내에 반입된 상기 기판에 상기 처리 가스를 공급하여 상기 기판을 처리하는 공정; 및
    상기 반응관 구조 내로부터 상기 기판을 반출하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  17. 기판이 처리되는 처리실을 한정하는 반응관과, 상기 반응관의 하부에 설치되고 처리 가스가 도입되는 가스 도입부와, 상기 반응관의 측면의 일단을 구성하고 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실에 상기 처리 가스를 공급하는 개구부가 설치되는 제1 버퍼부와, 상기 가스 도입부와 상기 제1 버퍼부 사이에 설치되고 상기 가스 도입부부터 상기 제1 버퍼부까지 연통시키는 연결부와, 상기 반응관의 측면의 하단부에 설치되고 상기 반응관의 측면의 타단을 구성하고 상기 처리 가스를 일시적으로 체류시키는 것과 함께 상기 처리실로부터 상기 처리 가스를 배기하는 가스 배기구를 포함하는 제2 버퍼를 개재하여 상기 처리실로부터 상기 처리 가스를 포함하는 가스를 배출하는 가스 배기부를 포함하는 반응관 구조 내에 상기 기판을 반입하는 공정;
    상기 반응관 구조 내에 반입된 상기 기판에 상기 처리 가스를 공급하여 상기 기판을 처리하는 공정; 및
    상기 반응관 구조 내로부터 상기 기판을 반출하는 공정
    을 포함하는 반도체 장치의 제조 방법.
KR1020170152752A 2016-11-18 2017-11-16 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법 KR102147174B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016224973 2016-11-18
JPJP-P-2016-224973 2016-11-18
JPJP-P-2017-201009 2017-10-17
JP2017201009A JP6823575B2 (ja) 2016-11-18 2017-10-17 基板処理装置、反応管及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20180056388A true KR20180056388A (ko) 2018-05-28
KR102147174B1 KR102147174B1 (ko) 2020-08-28

Family

ID=62144348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170152752A KR102147174B1 (ko) 2016-11-18 2017-11-16 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US11359283B2 (ko)
KR (1) KR102147174B1 (ko)
CN (1) CN108074845B (ko)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202009310TA (en) * 2018-03-26 2020-10-29 Kokusai Electric Corp Substrate processing apparatus, method of measuring shape of reaction tube and method of manufacturing semiconductor device
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06188238A (ja) * 1992-12-02 1994-07-08 Toshiba Corp 熱処理装置と熱処理方法
JPH07176498A (ja) 1993-12-21 1995-07-14 Kokusai Electric Co Ltd 反応ガスの予熱装置を備えた反応炉
JPH1167750A (ja) 1997-08-22 1999-03-09 Kokusai Electric Co Ltd 外部燃焼装置、外部燃焼方法、外部燃焼装置を備える処理装置および外部燃焼装置を用いた処理方法
JP2002343782A (ja) * 2001-05-14 2002-11-29 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
KR20150072702A (ko) * 2013-12-20 2015-06-30 투인텍주식회사 진공펌프용 이젝터

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01316461A (ja) 1988-06-16 1989-12-21 Fujitsu Ltd 減庄cvd装置
JP2839621B2 (ja) 1990-02-13 1998-12-16 株式会社東芝 半導体製造用熱拡散装置
JPH0410617A (ja) * 1990-04-27 1992-01-14 Fujitsu Ltd 半導体製造装置
JPH09260300A (ja) 1996-03-21 1997-10-03 Kokusai Electric Co Ltd 半導体製造装置
JP2010521820A (ja) * 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2011035191A (ja) 2009-08-03 2011-02-17 Hitachi Kokusai Electric Inc 基板処理装置
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011066106A (ja) 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9681306B2 (en) * 2012-03-07 2017-06-13 Lg Electronics Inc. Method for selecting master CM in coexistence network
JP6245643B2 (ja) * 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6255267B2 (ja) * 2014-02-06 2017-12-27 株式会社日立国際電気 基板処理装置、加熱装置、天井断熱体及び半導体装置の製造方法
US10811271B2 (en) 2014-09-30 2020-10-20 Kokusai Electric Corporation Substrate processing device, manufacturing method for semiconductor device, and reaction tube
CN109616434A (zh) * 2015-02-25 2019-04-12 株式会社国际电气 衬底处理装置及方法、半导体器件的制造方法以及加热部
JP6468901B2 (ja) * 2015-03-19 2019-02-13 東京エレクトロン株式会社 基板処理装置
KR101720620B1 (ko) * 2015-04-21 2017-03-28 주식회사 유진테크 기판처리장치 및 챔버 세정방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06188238A (ja) * 1992-12-02 1994-07-08 Toshiba Corp 熱処理装置と熱処理方法
JPH07176498A (ja) 1993-12-21 1995-07-14 Kokusai Electric Co Ltd 反応ガスの予熱装置を備えた反応炉
JPH1167750A (ja) 1997-08-22 1999-03-09 Kokusai Electric Co Ltd 外部燃焼装置、外部燃焼方法、外部燃焼装置を備える処理装置および外部燃焼装置を用いた処理方法
JP2002343782A (ja) * 2001-05-14 2002-11-29 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
KR20150072702A (ko) * 2013-12-20 2015-06-30 투인텍주식회사 진공펌프용 이젝터

Also Published As

Publication number Publication date
US20180142353A1 (en) 2018-05-24
KR102147174B1 (ko) 2020-08-28
CN108074845B (zh) 2021-12-03
US11359283B2 (en) 2022-06-14
CN108074845A (zh) 2018-05-25

Similar Documents

Publication Publication Date Title
KR20180056388A (ko) 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법
JP2010153467A (ja) 基板処理装置および半導体装置の製造方法
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
KR20170077013A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2012023073A (ja) 基板処理装置および基板の製造方法
CN113140493A (zh) 铅直晶圆容器系统
JP7214834B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6823575B2 (ja) 基板処理装置、反応管及び半導体装置の製造方法
JP5087283B2 (ja) 温度制御システム、基板処理装置、及び半導体装置の製造方法
JP2007088177A (ja) 基板処理装置
KR102509263B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP4880408B2 (ja) 基板処理装置、基板処理方法、半導体装置の製造方法、メインコントローラおよびプログラム
JP6992156B2 (ja) 処理装置、排気システム、半導体装置の製造方法
CN108335998B (zh) 基板处理装置和基板的冷却方法
JP2012054408A (ja) 基板処理装置及び被処理基板の製造方法
JP2005259902A (ja) 基板処理装置
US12018373B2 (en) Substrate processing apparatus
JP2012134325A (ja) 基板処理装置および基板の製造方法
JP2010040919A (ja) 基板処理装置
JP2012216703A (ja) 基板処理装置
JP2007258630A (ja) 基板処理装置
JP2009044058A (ja) 基板処理装置
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法
JP2010056403A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant