JP6257000B2 - 基板処理装置、半導体装置の製造方法および反応管 - Google Patents

基板処理装置、半導体装置の製造方法および反応管 Download PDF

Info

Publication number
JP6257000B2
JP6257000B2 JP2015538001A JP2015538001A JP6257000B2 JP 6257000 B2 JP6257000 B2 JP 6257000B2 JP 2015538001 A JP2015538001 A JP 2015538001A JP 2015538001 A JP2015538001 A JP 2015538001A JP 6257000 B2 JP6257000 B2 JP 6257000B2
Authority
JP
Japan
Prior art keywords
gas supply
gas
area
cylindrical portion
supply area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015538001A
Other languages
English (en)
Other versions
JPWO2015041376A1 (ja
Inventor
吉田 秀成
秀成 吉田
小竹 繁
繁 小竹
谷山 智志
智志 谷山
高行 中田
高行 中田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2015041376A1 publication Critical patent/JPWO2015041376A1/ja
Application granted granted Critical
Publication of JP6257000B2 publication Critical patent/JP6257000B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、基板処理装置、半導体装置の製造方法および反応管に関する。
基板処理装置の一例として、半導体製造装置があり、さらに半導体製造装置の一例として、縦型装置があることが知られている。この種の基板処理装置として、反応管内に、基板(ウエハ)を多段に保持する基板保持部材としてのボートを有し、この複数の基板を保持した状態で反応管内の処理室にて基板を処理するものがあることが知られている。
特許文献1は、バッチ処理される複数枚のウエハ200がボート217に対し多段に保持され、反応管203内に挿入された状態において、2種類以上の原料ガスを同時に反応管203内のウエハ200に対して供給し、ウエハ200上に膜を形成する構成を開示する。
特開2011−52319号公報
しかしながら、上述した特許文献1に記載の構成ではウエハとウエハとの間に十分な量の原料ガスを供給できないため膜厚均一性が悪く、また、原料ガスの置換効率が悪いため処理時間を長く要し、生産性が悪化するという問題があった。
本発明の目的は、膜厚均一性を改善するとともに生産性を向上させることが可能な技術を提供することにある。
本発明の一態様によれば、
複数枚の基板を保持する基板保持部材と、
前記基板保持部材を収容し、前記基板を処理する反応管と、
前記反応管内に処理ガスを供給する処理ガス供給系と、
前記反応管内の雰囲気を排気する排気系と、を有し、
前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、
前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、
前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成された半導体製造装置が提供される。
本発明によれば、膜厚均一性を改善するとともに生産性を向上させることが可能な技術を提供することができる。
本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分の縦断面図である。 本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の一部の概略構成図であり、反応管の横断面図である。 本発明の実施形態で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図である。 本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の一部の概略構成図であり、反応管部分の縦断面図である。 本発明の実施形態で好適に用いられる基板処理装置の縦型処理炉の一部の概略構成図であり、反応管の上部を拡大した拡大図である。 本発明の第2の実施形態で好適に用いられる反応管の横断面図である。 本発明の第2の実施形態で好適に用いられる反応管の縦断面図である。 従来例における処理ガスの流れを表す概略図である。 本発明における処理ガスの流れを表す概略図である。
以下、本発明の第1の実施形態について、図1を用いて説明する。本発明における基板処理装置は、半導体装置の製造に使用される半導体製造装置の一例として構成されているものである。
図1に示すように、処理炉202は加熱手段(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。ヒータ207は、処理ガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
ヒータ207の内側には、ヒータ207と同心円状に反応容器(処理容器)を構成する単管構造の反応管203が配設されている。反応管203は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料から形成されている。反応管203は、下端部が開放され、上端部が平坦状の壁体で閉塞された有天井の形状で形成され、いる。反応管203の側壁は、円筒形状に形成された円筒部209と、円筒部209の外壁に設けられたガス供給エリア222とガス排気エリア224とを備えている。反応管203の円筒部209の内部には、処理室201が形成されている。処理室201は、基板としてのウエハ200を処理可能に構成されている。また、処理室201は、ウエハ200を水平姿勢で垂直方向に多段に整列した状態で保持可能なボート217を収容可能に構成されている。
ガス供給エリア222は、凸部が円筒部209の一側壁の外側に突出するように形成されている。ガス供給エリア222の外壁は、円筒部209の外壁の一部としての一側壁の外側に円筒部209の外径よりも大きく、円筒部209と同心円状に形成されている。ガス供給エリア222は、下端部が開放され、上端部が平坦状の壁体で閉塞された有天井の形状で構成されている。ガス供給エリア222は、その長さ方向(上下方向)に沿って後述するノズル410a〜410cが収容され、ガス供給エリア222と円筒部209との間の境界を構成する壁体である境界壁252には後述するガス供給スリット235が形成されている。境界壁252は円筒部209の一側壁であって、その外側面は、ガス供給エリア222に面する側面部分を構成する。
円筒部209のガス供給エリア222が形成された一側壁に対向する他側壁には、ガス排気エリア224が形成される。ガス排気エリア224は、ガス供給エリア222との間に処理室201のウエハ200が収容される領域を挟むように配置されている。ガス排気エリア224は、凸部が円筒部209のガス供給エリア222が形成された一側壁に対向する他側壁の外側に突出するように形成されている。ガス排気エリア224の外壁は、円筒部209の外壁の一部としての他側壁の外側に円筒部209の外径よりも大きく、円筒部209と同心円状に形成されている。ガス排気エリア224は、下端部がと上端部が平坦状の壁体で閉塞された有天井の形状で構成されている。
ガス排気エリア224と円筒部209との間の境界を構成する壁体である境界壁254には後述するガス排気スリット236が形成されている。境界壁254は円筒部209の一部であって、その外側面は、ガス排気エリア224に面する側面部分を構成する。
反応管203の下端は、円筒体状のマニホールド226によって支持されている。マニホールド226は、例えばニッケル合金やステンレス等の金属で形成されるか、若しくは石英(SiO)または炭化シリコン(SiC)等の耐熱性材料で形成されている。マニホールド226の上端部にはフランジが形成されており、このフランジ上に反応管203の下端部を設置して支持する。このフランジと反応管203の下端部との間にはOリング等の気密部材220を介在させて反応管203内を気密状態にしている。
マニホールド226の下端の開口部には、シールキャップ219がOリング等の気密部材220を介して気密に取り付けられており、反応管203の下端の開口部側、すなわちマニホールド226の開口部を気密に塞ぐようになっている。シールキャップ219は、例えばニッケル合金やステンレス等の金属で形成され、円盤状に形成されている。シールキャップ219は、石英(SiO)または炭化シリコン(SiC)等の耐熱性材料でその外側を覆うように構成されてもよい。
シールキャップ219上にはボート217を支持するボート支持台218が設けられている。ボート支持台218は、例えば石英や炭化珪素等の耐熱性材料で構成され断熱部として機能すると共にボートを支持する支持体となっている。ボート217は、ボート支持台218上に立設されている。ボート217は例えば石英や炭化珪素等の耐熱性材料で構成されている。ボート217は図示しないボート支持台に固定された底板とその上方に配置された天板とを有しており、底板と天板との間に複数本の支柱が架設された構成を有している。ボート217には複数枚のウエハ200が保持されている。複数枚のウエハ200は、互いに一定の間隔をあけながら水平姿勢を保持しかつ互いに中心を揃えた状態で反応管203の管軸方向に多段に積載されボート217の支柱に支持されている。
シールキャップ219の処理室201と反対側にはボートを回転させるボート回転機構267が設けられている。ボート回転機構267の回転軸265はシールキャップを貫通してボート支持台218に接続されており、ボート回転機構267によって、ボート支持台218を介してボート217を回転させることでウエハ200を回転させる。
シールキャップ219は反応管203の外部に設けられた昇降機構としてのボートエレベータ115によって垂直方向に昇降され、これによりボート217を処理室201内に対し搬入搬出することが可能となっている。
マニホールド226には、ノズル340a〜340cを支持するノズル支持部350a〜350cが、L字状に屈曲されてマニホールド226を貫通するようにして設置されている。ここでは、3本のノズル支持部350a〜350cが設置されている。ノズル支持部350a〜350cは、例えばニッケル合金やステンレス等の材料から形成される。ノズル支持部350の反応管203側の一端には反応管203内へガスを供給するガス供給管310a〜310cがそれぞれ接続されている。また、ノズル支持部350a〜350cの他端にはノズル340a〜340cがそれぞれ接続されている。ノズル340a〜340cは、例えば石英またはSiC等の耐熱性材料から形成される。
ノズル340a〜340cはガス供給エリア222内の下部より上部に、その長さ方向(上下方向)に沿って設けられている。ノズル340a〜340cは、I字型のロングノズルとしてそれぞれ構成されている。ノズル340a〜340cの側面には、ガスを供給するガス供給孔232a〜232cがそれぞれ設けられている。ガス供給孔232a〜232cは、それぞれ反応管203の中心を向くように開口している。このように、ガス供給エリア222には、3本のノズル340a〜340cが設けられており、処理室201内へ複数種類のガスを供給することができるように構成されている。
以上の処理炉202では、バッチ処理される複数枚のウエハ200がボート217に対し多段に積層された状態において、ボート217がボート支持台218で支持されながら処理室201に挿入され、ヒータ207が処理室201に挿入されたウエハ200を所定の温度に加熱するようになっている。
ガス供給管310aには、上流方向から順に、第1処理ガスを供給する第1処理ガス供給源360a、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241aおよび開閉弁であるバルブ243aがそれぞれ設けられている。ガス供給管310bには、上流方向から順に、第2処理ガスを供給する第1処理ガス供給源360b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241bおよび開閉弁であるバルブ243bがそれぞれ設けられている。ガス供給管310cには、上流方向から順に、第3処理ガスを供給する第1処理ガス供給源360c、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241cおよび開閉弁であるバルブ243cがそれぞれ設けられている。ガス供給管310a〜310cのバルブバルブ243a〜243cよりも下流側には、不活性ガスを供給するガス供給管310d〜310fがそれぞれ接続されている。ガス供給管310d〜310fには、上流方向から順に、流量制御器(流量制御部)であるMFC241d〜241fおよび開閉弁であるバルブ243d〜243fがそれぞれ設けられている。
主に、ガス供給管310a、MFC320a、バルブ330aにより第1処理ガス供給系が構成される。第1処理ガス供給源360a、ノズル支持部350a、ノズル340aを第1処理ガス供給系に含めて考えても良い。また、主に、ガス供給管310b、MFC320b、バルブ330bにより第2処理ガス供給系が構成される。第2処理ガス供給源360b、ノズル支持部350b、ノズル340bを第2処理ガス供給系に含めて考えても良い。また、主に、ガス供給管310c、MFC320c、バルブ330cにより第3処理ガス供給系が構成される。第3処理ガス供給源360c、ノズル支持部350c、ノズル340cを第3処理ガス供給系に含めて考えても良い。なお、本明細書において、処理ガスという言葉を用いた場合は、第1処理ガスのみを含む場合、第2処理ガスのみを含む場合、第3処理ガスのみを含む場合、もしくはそれら全てを含む場合がある。また、処理ガス供給系という言葉を用いた場合は、第1処理ガス供給系のみを含む場合、第2処理ガス供給系のみを含む場合、第3処理ガス供給系のみを含む場合、もしくはそれら全てを含む場合がある。
ガス排気エリア224の下部には排気口230が設けられている。排気口230は排気管231に接続されている。排気管232には処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245および圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ244を介して真空排気装置としての真空ポンプ246が接続されており、処理室201内の圧力が所定の圧力(真空度)となるよう真空排気し得るように構成されている。真空ポンプ246の下流側の排気管232は廃ガス処理装置(図示せず)等に接続されている。なお、APCバルブ244は、弁を開閉して処理室201内の真空排気・真空排気停止ができ、更に弁開度を調節してコンダクタンスを調整して処理室201内の圧力調整をできるようになっている開閉弁である。主に、排気管232、APCバルブ244、圧力センサ245により排気系が構成される。なお、真空ポンプ246も排気系に含めてもよい。
反応管203内には温度検出器としての後述する温度センサ238が設置されており、温度センサ238により検出された温度情報に基づきヒータ207への供給電力を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。
図3に示すように、制御部(制御手段)であるコントローラ280は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ280には、例えばタッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理工程における各手順をコントローラ280に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC241a〜241f、バルブ243a〜243f、圧力センサ245、APCバルブ244、真空ポンプ246、ヒータ207、温度センサ238、ボート回転機構267、ボートエレベータ115等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピを読み出すように構成されている。CPU121aは、読み出したプロセスレシピの内容に沿うように、MFC241a〜241fによる各種ガスの流量調整動作、バルブ243a〜243fの開閉動作、APCバルブ244の開閉動作および圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ238に基づくヒータ207の温度調整動作、ボート回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作等を制御するように構成されている。
コントローラ280は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123を用意し、この外部記憶装置123を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態のコントローラ280を構成することができる。但し、コンピュータにプログラムを供給するための手段は、外部記憶装置123を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置123を介さずにプログラムを供給するようにしてもよい。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。
次に、第1の実施形態にて好適に用いられる反応管203の形状について、さらに図2、図4、図5を参照して説明する。
図2に示すように、ガス供給エリア222およびガス排気エリア224の内部には、各エリア内空間を複数の空間に区画する内壁248、250が形成されている。
内壁248、250は、反応管203と同一材料で形成され、例えば、石英(SiO)または炭化シリコン(SiC)等の耐熱性材料から形成されている。
ここでは、それぞれ2つの内壁を備え、3つの空間に区画されている。
ガス供給エリア222内を区画する2つの内壁248は、ガス供給エリア222を下端側から上端側に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けられている。ガス供給エリア222の各空間には、ノズル340a〜340cがそれぞれ設置されている。内壁248により、各ノズル340a〜340cはそれぞれ独立した空間内に設置されるため、各ノズル340a〜340cから供給される処理ガスがガス供給エリア222内で混ざり合う事を抑制することができる。
このような構成により、ガス供給エリア222内で処理ガスが混ざり合って薄膜が形成されたり、副生成物が生成されたりすることを抑制することができる。
好適には、内壁248は、ガス供給エリア222を下端から上端に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けると良い。
ガス排気エリア224内を区画する2つの内壁250は、ガス排気エリア224を下端側から上端側に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けられている。
好適には、内壁250は、ガス排気エリア224を下端側から上端に至るまで区画し、それぞれ隔離した3つの空間を形成するように、設けると良い。
好適には、ガス供給エリア222およびガス排気エリア224の外壁の外径は、同一寸法とすると、ヒータ207との間のデッドスペースを少なくすることができる等のメリットがある。
また、好適には、ガス供給エリア222とガス排気エリア224それぞれのガスの流路断面積は同じ面積とする。また、好適には、ガス供給エリア222内の各空間のガスの流路断面積と、ガス供給エリア222内の各空間に対面するガス排気エリア224内の各空間のガスの流路断面積を同じ面積とする。
図4に示すように、円筒部209のガス供給エリア222側の境界壁252の下端には、ノズル340a〜340cをガス供給エリア222内に設置するための開口部256が形成されている。ノズル340a〜340cを設置する際は、開口部256から各空間にノズル340a〜340cを挿入し、ノズル340a〜340cの下端をノズル支持部350a〜350cの上端より一旦高く持ち上げてから、ノズル340a〜340cの下端がノズル支持部350a〜350cの上端よりも低くなるようにすることで差し込む。
ノズル340a〜340cを一旦持ち上げてガス支持部350a〜350cに設置する際に、ノズル340a〜340cの上端がガス供給エリア222の上端にある天井部に接触しないように、ガス供給エリア222のノズル340a〜340c上端より上部にバッファ領域258が形成されている(図5参照)。ガス供給エリア222の上端側は、少なくともバッファ領域258の分だけ、ガス排気エリア224の天井部よりも高くなるように構成されている。
本実施形態では、ガス供給エリア222の天井部の上端は円筒部209の天井部の上端と同じ高さであり、ガス排気エリア224の天井部の上端は円筒部209の天井部の上端よりも低くなるように構成されている。
言い換えれば、バッファ領域258の分だけガス供給エリア222の容積はガス排気エリア224の容積よりも大きくなっているように構成されている。なお、本実施形態ではガス排気エリア224の上端の高さをガス供給エリア222の上端よりも低く構成しているが、ガス排気エリア224が容積のサイズによる排気バランスへの影響や副生成物の付着具合への影響等が問題ない場合には、同じ高さに構成しても良い。
ガス排気エリア224内の内壁250は、ガス排気エリア224の天井部の上端から下端側の排気口230上端よりも高い位置まで形成されている。ガス排気エリア224の下端側の排気口230上端よりも高い位置からガス排気エリア224の下端までは、1つの空間として構成されている。
ガス排気エリア224の内壁250で区画された各空間を流通したガスは、排気口230の手前の1つの空間にて合流し、排気口230から排気されるようになっている。このような構成とすることにより、
ガス供給エリア222内の内壁248は、ガス供給エリア222の天井部から反応管203の下端部上部まで形成されている。具体的には、内壁248の下端は、開口部256の上端よりも下側まで形成される。内壁248の下端は、反応管203の下端部よりも上側であって、ノズル支持部350の上端部よりも下側になる領域として形成されている。ガス供給エリア222内の内壁248の長さは、反応管203の長さよりも短く、境界壁252の長さよりも長くなるよう構成されている。また、ガス供給エリア222内の内壁248の方が、ガス排気エリア224内の内壁250よりも長くなるように構成されている。
図4に示すように、円筒部209とガス供給エリア222との境界壁252には、処理室201内に処理ガスを供給するためのガス供給スリット235が形成されている。ガス供給スリット235は、上下左右方向に複数段、複数列のマトリクス状に形成されている。すなわち、ガス供給エリア222内の内壁248で区画された各空間それぞれに対向した横長のスリットが上下方向に複数形成されている。
好適には、ガス供給スリット235の円筒部209周方向の長さはガス供給エリア222内の各空間の周方向の長さと同じにするとガス供給効率が向上するので良い。また、好適には、ガス供給スリット235は、内壁248と境界壁252との連結部分を除いて横長に、縦複数段に形成するとガス供給効率が向上するので良い。また、好適には、ガス供給スリット235の列数は区画された空間と同じ数に形成されると良い。本実施形態では、3つの空間が形成されているため、ガス供給スリット235は3列形成されている。
円筒部209とガス排気エリア224との境界壁254に、処理室201内の雰囲気を排気するためのガス排気スリット236が形成されている。ガス排気スリット236は、上下左右方向に複数段、複数列のマトリクス状に形成されている。すなわち、ガス供給エリア222内の内壁248で区画された各空間それぞれに対向し、円筒部の周方向に長く形成された横長のスリットが上下方向に複数形成されている。
好適には、ガス排気スリット236の円筒部209周方向の長さはガス排気エリア224内の各空間の周方向の長さと同じにするとガス排気効率が向上するので良い。また、好適には、ガス排気スリット236は、内壁250と境界壁254との連結部分を除いて横長に、縦複数段に形成するとガス排気効率が向上するので良い。また、好適には、ガス排気スリット236の列数は区画された空間と同じ数に形成されると良い。本実施形態では、3つの空間が形成されているため、ガス排気スリット236は3列形成されている。
ガス供給スリット235およびガス排気スリット236は、それぞれの四隅としてのエッジ部が曲面を描くように滑らかに形成されている。エッジ部にRがけ等を行い、曲面状にすることにより、エッジ部周縁のガスのよどみを抑制することができ、エッジ部の膜の形成を抑制することができ、さらに、エッジ部に形成される膜の膜剥がれを抑制することができる。
図5に示すように、ガス供給スリット235およびガス排気スリット236は、処理室201に収容された状態のボート217に複数段載置された、隣り合うウエハ200とウエハ200との間にそれぞれ配置されるように形成されている。図5では、ボート217を省略して説明する。
好適には、ボート217に載置可能な最下段のウエハ200とその上側に隣り合うウエハ200との間から、最上段のウエハ200とその上側に隣り合うボート217の天板との間に至るまで、各ウエハ200間、ウエハ200と天板間に対し1段ずつ対向するように形成されると良い。
好適には、各ガス供給スリット235と各ガス排気スリット236とは同じ高さ、同じ数に形成されると良い。例えば、ウエハ200が25枚載置されるときは、ガス供給スリット235とガス排気スリット234は25段形成されると良い。
好適には、ガス供給スリット235およびガス排気スリット236は、一定の縦幅L1で形成すると良い。隣り合うウエハ200間の間隔をL2とすると、L1の方がL2より小さくなるようにガス供給スリット235およびガス排気スリット234が形成されると良い。このように構成することで、ガス供給スリット235からウエハ200へ流れるガスのよどみの発生を抑制でき、さらに、処理室201からガス排気スリット236へ流れるガスのよどみの発生を抑制することができる。
好適には、L1は1mm〜9mm程度の範囲内とすると良く、さらに好適には3〜7mm程度の範囲内とすると良い。また、L2は6〜14mm程度の範囲内とすると良く、さらに好適には8〜12mm程度の範囲内とすると良い。
ノズル340a〜340cのガス供給孔234a〜234cは各ガス供給スリット235に対し1個ずつ対応するように、各ガス供給スリット235の縦幅の中央部分に形成すると良い。例えば、ガス供給スリット235が25個形成されているときは、それぞれ25個のガス供給孔234a〜234cが形成されると良い。すなわち、ガス供給スリット235とガス供給孔234a〜234cは、載置されるウエハ200と同数形成されると良い。このようなスリット構成とすることにより、ウエハ200上にウエハ200に平行な処理ガスの流れを形成することができる(図5矢印参照)。
また、ガス排気エリア224には周方向に長くスリットが形成されているため、ウエハ200上を流れる処理ガスの流れを乱すことなく、排気を行う事が出来る。例えば、ガス排気スリットを孔状に形成した場合、処理ガスの流れが孔に向かって集中するため、ウエハ200上において均一なガスの流れを形成することができない。これに対し、本実施形態においては、ガス排気スリットを横長に形成しているため、排気側に近づくにつれて集中した処理ガスの流れが形成されることなく、ウエハ200上において流れを整流し、均一に処理ガスを供給することが可能となる。
次に、本発明に関わる基板処理装置の動作概要について説明する。なお、基板処理装置は、コントローラ280により制御されるものである。
所定枚数のウエハ200が載置されたボート217が反応管203内に挿入され、シールキャップ219により、反応管203が気密に閉塞される。気密に閉塞された反応管203内では、ウエハ200が加熱されると共に、処理ガスが反応管203内に供給され、ウエハ200に加熱等の熱処理がなされる。
熱処理として、例えば、第1処理ガスとしてNHガスと、第2処理ガスとしてHCDSガスと、第3処理ガスとしてNガスとを交互供給(HCDSガス供給→Nパージ→NHガス供給→Nパージを1サイクルとしてこのサイクルを所定回数繰り返すこと)することにより、ウエハ200上にSiN膜を形成する。処理条件は、例えば下記のとおりである。
ウエハ200の温度:100〜600℃
処理室内圧力:1〜3000Pa
HCDSガス供給流量:1〜2000sccm
NHガス供給流量:100〜10000sccm
ガス供給流量:10〜10000sccm
SiN膜の膜厚:0.2〜10nm
まず、第2処理ガス供給系のガス供給管310bよりノズル350bのガス供給孔234b、ガス供給スリット235を介して処理室201内にHCDSガスを供給する。具体的には、バルブ330b、330eを開けることにより、キャリアガスと共に、ガス供給管310bからHCDSガスの処理室201内への供給を開始する。このとき、APCバルブ244の開度を調整して、処理室201内の圧力を所定の圧力に維持する。所定時間が経過したら、バルブ330bを閉じ、HCDSガスの供給を停止する。
処理室201内に供給されたHCDSガスは、ウエハ200に供給され、ウエハ200上を平行に流れた後、ガス排気スリット236を通ってガス排気エリア222を上部から下部へと流れ、ガス排気エリア222下部の排気口230を介して排気管232から排気される。
なお、処理室201内にHCDSガスを供給する間、ガス供給管310aおよび310cに接続される不活性ガス供給管のバルブ330aおよび330cを開けてN等の不活性ガスを流すと、ガス供給管320内にHCDSガスが回り込むのを防ぐことができる。
バルブ330bを閉じ、処理室201内へのHCDSガスの供給を停止した後は、APCバルブ244を開けて処理室201内の排気し、処理室201内に残留しているHCDSガスや反応生成物等を排除する。この時、不活性ガス供給管310aおよび310cからN等の不活性ガスをそれぞれ処理室201内に供給してパージすると、処理室201内からの残留ガスを排除する効果をさらに高めることができる。所定時間経過後、バルブ330eを閉じる。
次に、第1処理ガス供給系のガス供給管310aよりノズル350aのガス供給孔234a、ガス供給スリット235を介して処理室201内にNHガスガスを供給する。具体的には、バルブ330a、330dを開けることにより、キャリアガスと共に、ガス供給管310aからNHガスの処理室201内への供給を開始する。このとき、APCバルブ244の開度を調整して、処理室201内の圧力を所定の圧力に維持する。所定時間が経過したら、バルブ330aを閉じ、NHガスの供給を停止する。
処理室201内に供給されたNHガスは、ウエハ200に供給され、ウエハ200上を平行に流れた後、ガス排気スリット236を通ってガス排気エリア222を上部から下部へと流れ、ガス排気エリア222下部の排気口230を介して排気管232から排気される。
なお、処理室201内にNHガスを供給する間、ガス供給管310bおよび310cに接続される不活性ガス供給管のバルブ330eおよび330fを開けてN等の不活性ガスを流すと、ガス供給管320内にNHガスが回り込むのを防ぐことができる。
バルブ330aを閉じ、処理室201内へのNHガスの供給を停止した後は、APCバルブ244を開けて処理室201内の排気し、処理室201内に残留しているHCDSガスや反応生成物等を排除する。この時、不活性ガス供給管310eおよび310fからN等の不活性ガスをそれぞれ処理室201内に供給してパージすると、処理室201内からの残留ガスを排除する効果をさらに高めることができる。所定時間経過後、バルブ330eを閉じる。
ウエハ200の処理が完了すると、上記した動作の逆の手順により、ボート217が反応管203内から搬出される。ウエハ200は、ウエハ移載機112により、ボート217から移載棚123のカセット100に移載され、カセット100は、カセット搬送機115により、移載棚123からカセットステージ105に移載され、図示しない外部搬送装置により、筐体101の外部に搬出される。
上述の実施形態では、第1処理ガスと第2処理ガスとを交互に供給する場合について説明したが、同時に供給した場合においても本発明は適用できる。
図8に示すように、従来の反応管構成による処理ガス供給の場合、処理ガスはガス供給孔から上下左右にわたって円錐状に供給される。処理ガスがウエハ200に平行な方向(左右方向)だけではなく、上下方向にも広く供給されることにより、ウエハ200のエッジと反応管との間の空間に処理ガスが流れてしまい、ウエハ200間に十分な量の処理ガスを供給できない。このため、ガス供給孔近傍の膜が厚くなり均一な膜厚が得られない。また、処理ガスの置換効率が悪く、生産性が悪化してしまう。
これに対し、図9に示すように、本実施形態においては、ガス供給孔の下流側に横長のガス供給スリット235が形成されている。上下方向に供給された処理ガスは境界壁254にぶつかるため、そのまま処理室201内に供給されることはない。境界壁254にぶつかった処理ガスは、ガス供給エリア222内を拡散し、ガス供給スリット235の形状に沿って横長(左右方向)に広がって処理室201内に供給される。ガス供給スリット235の縦幅はウエハ200間の間隔よりも短く形成されているため、ガス供給スリット235を通った処理ガスは、多少上下方向に広がったとしても、ウエハ200のエッジと反応管との間の空間に処理ガスが流れることはなく、ウエハ200間に十分な量を供給することができ、膜厚の偏りを軽減できる。
本実施形態においては、ガス供給エリア222とガス排気エリア224とを円筒部209(処理室201)の外側に形成している。このような構成により、反応管203の容積を従来の反応管よりも小さくすることが可能となる。円筒部209とウエハ200のエッジとの間隔をS1とすると(図5参照)、すなわち、従来の反応管に比べて容積を30%程度削減することが可能となるため、生産性を向上させることが可能となる。
上述の実施形態においては、ガス供給エリア222とガス排気エリア224とを3つの空間に区画したが、2つの空間に区画しても良いし、4つ以上の空間に区画しても良い。所望の加熱処理に必要なノズルの本数に合わせて、区画する空間の数は適宜変更可能である。
また、ノズルの形状を各々変更しても良い。例えば、真ん中の空間に設置されるノズルのガス供給孔を内壁に向けて開口させても良い。ガス供給口をウエハ200ではなく内壁に向けて開口させることにより、処理ガスを空間内で拡散させ、各ガス供給スリットから均一に処理ガスを供給させることが可能になる。
(3)本実施形態による効果
本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
(a)ガス供給エリアとガス排気エリアとを処理室の外側に形成することにより、処理室にガスを供給するガス供給媒体としてのノズルを設置する必要がないため、基板のエッジと反応管内壁との間隔を短くすることができ、また、反応管の容積を従来の反応管よりも大幅に小さくすることが可能となる。これにより、ウエハのエッジと反応管内壁との間の間隙から処理ガスが流れることを抑制することができ、
基板間に十分な量の処理ガスを供給でき、処理ガスの置換効率を向上させることが可能となる。
(b)供給バッファエリアおよび排気バッファエリアに内壁を形成することにより、ガス供給エリアとガス排気エリアとを処理室の外側に形成することによる反応管の強度の低下を補うことができる。これにより、反応管の容積を小さくしつつも反応管の破損のリスクを低下させることができる。
(c)ガス供給スリットおよびガス排気スリットのエッジ部が曲面を描くように滑らかに形成することにより、エッジ部周縁のガスのよどみを抑制することができ、エッジ部の膜の形成を抑制することができ、さらに、エッジ部に形成される膜の膜剥がれを抑制することができる。
(d)ガス供給エリアの内部の内壁により、ノズルがそれぞれ隔離した空間内に設置されるため、各ノズルから供給される処理ガスがガス供給エリア内で混ざり合う事を抑制することができる。このような構成により、ガス供給エリア内で処理ガスが混ざり合って薄膜が形成されたり、副生成物が生成されたりすることを防ぐことができ、パーティクル発生による歩留り低下を抑制することができる。また、各空間内で処理ガスの流速を緩和させることができるため、突発的な処理ガスの流速変化を生じさせることなく、処理室内への均一な処理ガスの供給を促進させ、生産性を向上させることが可能となる。
(e)ガス供給エリアのノズル上端より上部にバッファ領域が形成されることにより、ノズル交換を安全に行う事が出来る。
次に、本発明の第2の実施形態について説明する。本実施の形態は、ガス排気エリアに温度センサ238を設置するために、ガス排気エリア224の両端に温度測定エリア260を形成した点で第1の実施形態と相違する。以下、第2の実施形態において好適に用いられる反応管203の形状について図6を参照して説明する。なお、第1の実施形態と同じ構成については説明を省略する。
図6に示すように、ガス排気エリア224の両端には、温度センサ238が収納される温度測定エリア260が形成されている。温度測定エリア260は、下端部と上端部とが平坦に閉塞された有天井の形状で構成され、その外壁は円筒部209と同心円状に形成されている。また、温度測定エリア260はガス排気エリア224と内壁252を介して連続して形成されている。温度測定エリア260と円筒部209との境界壁にはガス排気スリット236は形成されていない。すなわち、温度測定エリア260はガス排気エリア224および処理室201から空間的に独立して形成されている。このような構成とすることにより、温度センサ238が処理ガスに曝されることを防ぐことができるため、温度センサ238の劣化を抑制することが可能となる。
図7に示すように、温度センサ238により、ボート217に載置された最上段のウエハ200上方における処理室201内の温度を計測するために、温度測定エリア260の天井部の高さは、円筒部209の高さと同じ高さに形成されている。また、ガス排気エリア224の天井部も同様に、円筒部209の高さと同じ高さに形成される。すなわち、本実施例においては、ガス供給エリア222とガス排気エリア224と温度測定エリア260と円筒部209との天井部の高さが同じ高さに、平坦になるように形成されている。このような構成とすることにより、処理室201内を上下にわたって温度測定することが可能となり、ヒータ207による処理室201内の均一な加熱を行う事が出来る。また、反応管203の強度を高めることが可能となる。また、ガス排気エリア224の両端に温度測定エリア260を形成することにより、メンテナンス性を向上させることができる。
基板処理装置で行われる成膜処理には、例えば、CVD、PVD、ALD、Epi、その他酸化膜、窒化膜を形成する処理、金属を含む膜を形成する処理がある。更に、アニール処理、酸化処理、拡散処理等の処理でも構わない。
<本発明の好ましい態様>
以下、本発明の好ましい態様について付記する。
(付記1)
複数枚の基板を保持する基板保持部材と、
前記基板保持部材を収容し、前記基板を処理する反応管と、
前記反応管内に処理ガスを供給する処理ガス供給系と、
前記反応管内の雰囲気を排気する排気系と、を有し、
前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、
前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、
前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成された基板処理装置が提供される。
(付記2)
付記1に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアと前記円筒部との境界壁に前記処理ガスを前記円筒部内に供給するガス供給スリットが形成される。
(付記3)
付記1または2に記載の基板処理装置であって、好ましくは、
前記ガス排気エリアと前記円筒部との境界壁に前記円筒部内の雰囲気を排気するガス排気スリットが形成される。
(付記4)
付記3に記載の基板処理装置であって、好ましくは、
前記ガス供給スリットおよび前記ガス排気スリットは、前記複数の空間それぞれに対向した位置に、上下方向に複数に形成されている。
(付記5)
付記3または4に記載の基板処理装置であって、好ましくは、前記ガス供給スリットおよび前記ガス排気スリットは前記円筒部の周方向に長くに形成され、その両端部が曲面状に形成されている。
(付記6)
付記1乃至5に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアの横断面積と前記ガス排気エリアの横断面積とは同じである。
(付記7)
付記1乃至6に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアと前記ガス排気エリアとは同じ数だけ内壁を有し、同じ空間数に区画される。
(付記8)
付記6または付記7に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアの各空間の横断面積と前記ガス供給エリアの各空間に対面する前記ガス排気エリアの各空間の横断面積とは同じ面積である。
(付記9)
付記1乃至8に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアの容積の方が前記ガス排気エリアの容積より大きい。
(付記10)
付記9に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアの内壁の長さの方が前記ガス排気エリアの内壁の長さよりも長い。
(付記11)
付記1乃至10に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアと前記円筒部との境界壁の下端に開口部が形成されている。
(付記12)
付記11に記載の基板処理装置であって、好ましくは、
前記ガス供給エリアの内壁の長さは、前記円筒部の長さよりも短く、かつ、前記ガス供給エリアと前記円筒部との前記境界壁の長さよりも長い。
(付記13)
付記1乃至12に記載の基板処理装置であって、好ましくは、
前記ガス供給スリットの縦の長さは、前記基板間の間隔よりも短い。
(付記14)
付記4乃至13に記載の基板処理装置であって、好ましくは、
前記ガス供給スリットおよび前記ガス排気スリットの段数は前記基板の枚数と同数である。
(付記15)
付記4乃至付記14に記載の基板処理装置であって、好ましくは、
前記ガス供給スリットおよび前記ガス排気スリットの列数は、前記ガス供給エリアの前記空間数および前記ガス排気エリアの前記空間数と同数である。
(付記16)
付記15に記載の基板処理装置であって、好ましくは、
前記ガス供給スリットおよび前記ガス排気スリットの横の長さは、前記ガス供給エリアの前記空間および前記ガス排気エリアの前記空間の横の長さと同じである。
(付記17)
付記1に記載の基板処理装置であって、好ましくは、
前記ガス排気エリアに隣接して前記反応管内の温度を測定する温度センサが内部に設置された温度測定エリアが形成されている。
(付記18)
本発明の別の一態様によれば、
上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に形成されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成されたガス排気エリアとで構成された反応管の円筒部内に基板を搬送する工程と、
その内部の空間を複数の空間に区画する内壁を備えたガス供給エリアから前記円筒部内に処理ガスを供給する工程と、
その内部の空間を複数の空間に区画する内壁を備えたガス排気エリアから前記円筒部内の雰囲気を排気する工程と、を有する半導体装置の製造方法および基板処理方法が提供される。
(付記19)
本発明のさらに他の一態様によれば、
上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に形成されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成されたガス排気エリアとで構成された反応管の円筒部内に基板を搬送する手順と、
その内部の空間を複数の空間に区画する内壁を備えたガス供給エリアから前記円筒部内に処理ガスを供給する手順と、
その内部の空間を複数の空間に区画する内壁を備えたガス排気エリアから前記円筒部内の雰囲気を排気する手順と、
をコンピュータに実行させるプログラム、または、該プログラムを記録したコンピュータ読み取り可能な記録媒体が提供される。
(付記20)
本発明のさらに他の一態様によれば、
上端に閉塞部を有し、下端に開口部を有する円筒部と、
前記円筒部の一側壁の外側に形成されたガス供給エリアと、
前記ガス供給エリアの対向する前記円筒部の他側壁の外側に形成されたガス排気エリアと、を有し
前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備えるよう構成される反応管が提供される。
本発明に係る基板処理装置、半導体装置の製造方法、反応管によれば、反応管の容積を削減し、処理ガスの置換効率を向上させることが可能となる。
280 コントローラ(制御部)
200 ウエハ
201 処理室
202 処理炉
203 反応管
207 ヒータ
222 ガス供給エリア
224 ガス排気エリア
231 排気管
310a〜310f ガス供給管

Claims (14)

  1. 複数枚の基板を保持する基板保持部材と、
    前記基板保持部材を収容し、前記基板を処理する反応管と、
    前記反応管内に少なくとも2種類の処理ガスを供給する処理ガス供給系と、
    前記反応管内の雰囲気を排気する排気系と、を有し、
    前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
    前記ガス供給エリアと前記円筒部内との境界壁は、前記円筒部の側壁の一部であって、前記処理ガスを前記円筒部内に供給する、周方向に長いガス供給スリットが、前記複数枚の基板に対応して上下方向に1列に形成され、
    前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を備え
    前記内壁は、前記ガス供給エリアおよび前記ガス供給エリアのそれぞれの上端付近から下端付近まで伸びた板であり、それぞれの前記内部の空間を横方向に分割し、
    前記ガス供給エリアの区画された前記空間の少なくとも2つには、前記2種類の処理ガスがそれぞれ供給さるよう構成された基板処理装置。
  2. 処理ガス供給系と接続され、前記ガス供給エリアの区画された前記空間の少なくとも1つに着脱可能に設けられた少なくとも1つのノズルを更に備え、
    前記ガス供給エリアは下端部が開放されており、
    前記ノズルは、前記ガス供給エリア内の下部から上部へ長さ方向に沿って設けられ、前記ノズルの側面には、前記反応管の中心を向くように開口しガスを供給するガス供給孔が設けられた請求項1記載の基板処理装置。
  3. 前記ガス排気エリアと前記円筒部との境界壁に前記円筒部内の雰囲気を排気する横長のガス排気スリットが形成され、前記ガス供給スリット及びガス排気スリットの横の長さは、前記ガス供給エリアおよび前記ガス排気エリアの前記空間の横の長さそれぞれと同じである請求項1又は記載の基板処理装置。
  4. 前記ノズルは、前記ガス供給エリアの区画された前記空間の少なくとも2つにそれぞれ設けられ、
    前記ノズルの少なくとも1つは、前記ガス供給孔が、前記基板に対応して設けられた前記ガス供給スリットのそれぞれの開口の縦中央位置に形成されている請求項記載の基板処理装置。
  5. 前記反応管の下端の開口部に接続されて前記反応管を支持するとともに、前記ノズルの支持部を有するマニホールドを更に備え、
    ノズル支持部は、前記マニホールドを貫通するように設けられ、前記マニホールド外側の端は前記処理ガス供給と接続し、前記マニホールド内側の端は前記ノズルと接続して支持し、
    前記ガス供給エリアの内壁の下端は、前記ノズル支持部の上端よりも下側になる請求項2又は記載の基板処理装置。
  6. 前記ガス供給エリアのガスの流路の、前記円筒部の軸に垂直な面での断面積と前記ガス排気エリアのガスの流路の、前記軸に垂直な面での断面積とは同じ面積で形成されている請求項1乃至5のいずれかに記載の基板処理装置。
  7. 複数枚の基板を保持する基板保持部材と、
    前記基板保持部材を収容し、前記基板を処理する反応管と、
    前記反応管内に処理ガスを供給する処理ガス供給系と、
    前記反応管内の雰囲気を排気する排気系と、を有し、
    前記反応管は、上端に閉塞部を有し、下端に開口部を有する円筒部と、
    前記円筒部の一側壁の外側に形成され、前記処理ガス供給系が接続されたガス供給エリアと、
    前記ガス供給エリアと対向する前記円筒部の他側壁の外側に形成され、前記排気系が接続されたガス排気エリアと、を備え、
    前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を複数の空間に区画する内壁を有し、
    前記ガス供給エリアのガスの流路の、前記円筒部の軸に垂直な面での断面積と、前記ガス排気エリアのガスの流路の、前記軸に垂直な面での断面積とは、同じ面積で形成され、
    前記ガス供給エリアと前記円筒部との境界壁の下端に、ノズルが挿入される下端開口部が形成されている基板処理装置。
  8. 前記ガス供給エリアの内壁の縦の長さは、前記円筒部の縦の長さよりも短く、かつ、前記ガス供給エリアと前記円筒部との前記境界壁の縦の長さよりも長い請求項7記載の基板処理装置。
  9. 前記ガス供給エリアの内壁の縦の長さの方が前記ガス排気エリアの内壁の縦の長さよりも長い請求項8記載の基板処理装置。
  10. 上端に閉塞部を有し、下端に開口部を有する円筒部と、前記円筒部の一側壁の外側に、下端を開放させ上端を閉塞させて形成されたガス供給エリアと、前記ガス供給エリアと対向する前記円筒部の他側壁の外側に、下端と上端を閉塞させて形成されたガス排気エリアとで構成された反応管の円筒部内に基板を搬送する工程と、
    前記ガス供給エリアの上端付近から下端付近まで伸びてその内部の空間を横方向に分割して複数の空間に区画する内壁を備えたガス供給エリアから、前記円筒部の側壁の一部である前記ガス供給エリアと前記円筒部内との境界壁に前記複数枚の基板それぞれに対して設けられた周方向に長いガス供給スリットを通じて、前記円筒部内に処理ガスを供給する工程と、
    その内部の空間を横方向に分割して複数の空間に区画する内壁を備えたガス排気エリアから前記円筒部内の雰囲気を排気する工程と、を有し、
    前記供給する工程では、前記ガス供給エリアの区画された前記空間の少なくとも2つから、異なる2種類の処理ガスが交互に供給される半導体装置の製造方法。
  11. 上端に閉塞部を有し、下端に開口部を有する円筒部と、
    前記円筒部の一側壁の外側に、下端を開放させ上端を閉塞させて形成されたガス供給エリアと、
    前記ガス供給エリアの対向する前記円筒部の他側壁の外側に、下端と上端を閉塞させて形成されたガス排気エリアと、を有し
    前記ガス供給エリアと前記円筒部内との境界壁は、前記円筒部の側壁の一部であって、前記処理ガスを前記円筒部内に供給する、周方向に長いガス供給スリットが、前記複数枚の基板に対応して上下方向に少なくとも1例形成され、
    前記ガス供給エリアおよび前記ガス排気エリアは、その内部の空間を横方向に分割し複数の空間に区画する内壁を備え
    前記内壁は、前記ガス供給エリアおよび前記ガス供給エリアのそれぞれの上端付近から下端付近まで伸びた平板であり、その縦の長さは、前記円筒部の縦の長さよりも短く、かつ、前記ガス供給エリアと前記円筒部との前記境界壁の縦の長さよりも長く形成され、前記ガス供給エリアの区画された前記空間の少なくとも2つから、2種類の処理ガスが前記ガス供給エリア内で混ざることを抑制しつつ前記円筒部内へそれぞれ供給されるよう構成される反応管。
  12. 前記ガス供給エリアと前記円筒部との境界壁の下端に、下端開口部が形成され、
    前記下端開口部から前記ガス供給エリアの区画された前記空間へノズルを挿入し、ノズル支持部によって固定できるように構成された請求項11記載の反応管。
  13. 前記ガス供給エリアおよび前記ガス排気エリアの外壁は、前記円筒部の外径よりも大きな外形で、前記円筒部と同心円状に形成された請求項11又は12に記載の反応管。
  14. 前記反応管は、前記ガス排気エリアの両端に形成され、温度センサを収納する温度測定エリアを更に備え、
    前記温度測定エリアは、下端部と上端部とが平坦に閉塞された有天井の形状で構成され、前記円筒部と同心円状に形成された外壁を有し、前記ガス排気エリアと内壁を介して連続して形成された請求項12又は13に記載の反応管。
JP2015538001A 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管 Active JP6257000B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2014/076023 WO2015041376A1 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017253254A Division JP2018078323A (ja) 2017-12-28 2017-12-28 基板処理装置

Publications (2)

Publication Number Publication Date
JPWO2015041376A1 JPWO2015041376A1 (ja) 2017-07-06
JP6257000B2 true JP6257000B2 (ja) 2018-01-10

Family

ID=52689018

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015538001A Active JP6257000B2 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管

Country Status (6)

Country Link
US (3) US10811271B2 (ja)
JP (1) JP6257000B2 (ja)
KR (3) KR102123942B1 (ja)
SG (1) SG11201702331YA (ja)
TW (1) TWI585853B (ja)
WO (1) WO2015041376A1 (ja)

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102138985B1 (ko) * 2015-09-04 2020-07-28 가부시키가이샤 코쿠사이 엘렉트릭 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017138087A1 (ja) 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6548349B2 (ja) * 2016-03-28 2019-07-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102147174B1 (ko) * 2016-11-18 2020-08-28 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법
JP6823575B2 (ja) * 2016-11-18 2021-02-03 株式会社Kokusai Electric 基板処理装置、反応管及び半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP6782350B2 (ja) * 2017-02-15 2020-11-11 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法及びプログラム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6749268B2 (ja) * 2017-03-07 2020-09-02 東京エレクトロン株式会社 基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11201913857YA (en) 2017-08-30 2020-01-30 Kokusai Electric Corp Protective plate, substrate processing apparatus, and method of manufacturing semiconductor device
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2019058553A1 (ja) * 2017-09-25 2019-03-28 株式会社Kokusai Electric 基板処理装置、石英反応管、クリーニング方法並びにプログラム
JP6916766B2 (ja) 2018-08-27 2021-08-11 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10714362B2 (en) 2018-03-15 2020-07-14 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US10593572B2 (en) 2018-03-15 2020-03-17 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20230113657A (ko) 2018-03-23 2023-07-31 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10903096B2 (en) * 2018-04-06 2021-01-26 Varian Semiconductor Equipment Associates, Inc. System and apparatus for process chamber window cooling
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP6856576B2 (ja) * 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7015923B2 (ja) * 2018-08-03 2022-02-03 株式会社Kokusai Electric 基板処理装置およびデバイス製造方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210043810A (ko) 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102256106B1 (ko) * 2019-12-13 2021-05-27 주식회사 금강쿼츠 반도체 제조에 사용되는 압력보충용 2중관 노즐
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022124138A (ja) * 2021-02-15 2022-08-25 東京エレクトロン株式会社 処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113821066B (zh) * 2021-10-19 2022-07-15 中国工程物理研究院激光聚变研究中心 一种减小动态保护性气体对热处理过程温度控制影响的装置及方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05198517A (ja) 1992-01-21 1993-08-06 Tokyo Electron Ltd バッチ式ガス処理装置
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2001077042A (ja) 1999-09-02 2001-03-23 Tokyo Electron Ltd 縦型熱処理装置
JP2002222806A (ja) * 2001-01-26 2002-08-09 Ebara Corp 基板処理装置
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP2004162114A (ja) * 2002-11-13 2004-06-10 Mitsubishi Electric Corp 薄膜形成装置
US20070137794A1 (en) * 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
JP4282539B2 (ja) * 2004-04-28 2009-06-24 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4426518B2 (ja) 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
JP5157100B2 (ja) 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR101043211B1 (ko) 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5087657B2 (ja) 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
KR101308111B1 (ko) 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5977274B2 (ja) * 2013-03-21 2016-08-24 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具

Also Published As

Publication number Publication date
TW201630070A (zh) 2016-08-16
JPWO2015041376A1 (ja) 2017-07-06
US20190393045A1 (en) 2019-12-26
US10811271B2 (en) 2020-10-20
SG11201702331YA (en) 2017-04-27
KR102268374B1 (ko) 2021-06-23
US20170294318A1 (en) 2017-10-12
KR20170042789A (ko) 2017-04-19
KR102123942B1 (ko) 2020-06-17
KR101949060B1 (ko) 2019-05-20
US10950457B2 (en) 2021-03-16
KR20200070430A (ko) 2020-06-17
KR20180137607A (ko) 2018-12-27
US20210159083A1 (en) 2021-05-27
TWI585853B (zh) 2017-06-01
WO2015041376A1 (ja) 2015-03-26

Similar Documents

Publication Publication Date Title
JP6257000B2 (ja) 基板処理装置、半導体装置の製造方法および反応管
KR102238585B1 (ko) 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
US11495477B2 (en) Substrate processing apparatus
JP5589878B2 (ja) 成膜装置
US20210043485A1 (en) Substrate processing apparatus and substrate holder
US11685992B2 (en) Substrate processing apparatus, quartz reaction tube and method of manufacturing semiconductor device
KR102099330B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP2015149387A (ja) 基板処理装置、加熱装置、天井断熱体及び半導体装置の製造方法
JP2020017757A (ja) 基板処理装置、反応容器および半導体装置の製造方法
JP2018078323A (ja) 基板処理装置
JP6867496B2 (ja) 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
US11898247B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
TW202243157A (zh) 反應管、處理裝置及半導體裝置之製造方法
CN115315790A (zh) 基板处理装置、半导体装置的制造方法、存储介质和内管

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171106

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171130

R150 Certificate of patent or registration of utility model

Ref document number: 6257000

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250