KR102268374B1 - 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 반응관 Download PDF

Info

Publication number
KR102268374B1
KR102268374B1 KR1020207016533A KR20207016533A KR102268374B1 KR 102268374 B1 KR102268374 B1 KR 102268374B1 KR 1020207016533 A KR1020207016533 A KR 1020207016533A KR 20207016533 A KR20207016533 A KR 20207016533A KR 102268374 B1 KR102268374 B1 KR 102268374B1
Authority
KR
South Korea
Prior art keywords
gas
gas supply
area
reaction tube
processing
Prior art date
Application number
KR1020207016533A
Other languages
English (en)
Other versions
KR20200070430A (ko
Inventor
히데나리 요시다
시게루 오다케
도모시 다니야마
다카유키 나카다
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20200070430A publication Critical patent/KR20200070430A/ko
Application granted granted Critical
Publication of KR102268374B1 publication Critical patent/KR102268374B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

생산성을 향상시키는 것이 가능한 기술을 제공한다. 기판 처리 장치는, 복수매의 기판을 보유 지지하는 기판 보유 지지 부재와, 기판 보유 지지 부재를 수용하고, 기판을 처리하는 반응관과, 반응관 내에 처리 가스를 공급하는 처리 가스 공급계와, 반응관 내의 분위기를 배기하는 배기계를 갖고, 반응관은, 상단에 폐색부를 갖고, 하단에 개구부를 갖는 원통부와, 원통부의 일 측벽의 외측에 형성되어, 처리 가스 공급계가 접속된 가스 공급 에리어와, 가스 공급 에리어와 대향하는 원통부의 타 측벽의 외측에 형성되어, 배기계가 접속된 가스 배기 에리어를 구비하고, 가스 공급 에리어 및 가스 배기 에리어는, 그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비하도록 구성된다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 반응관{SUBSTRATE PROCESSING DEVICE, MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE, AND REACTION TUBE}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관에 관한 것이다.
기판 처리 장치의 일례로서, 반도체 제조 장치가 있고, 또한 반도체 제조 장치의 일례로서, 종형 장치가 있는 것으로 알려져 있다. 이러한 종류의 기판 처리 장치로서, 반응관 내에, 기판(웨이퍼)을 다단으로 보유 지지하는 기판 보유 지지 부재로서의 보트를 갖고, 이 복수의 기판을 보유 지지한 상태에서 반응관 내의 처리실에서 기판을 처리하는 것이 있는 것으로 알려져 있다.
특허문헌 1은, 뱃치 처리되는 복수매의 웨이퍼(200)가 보트(217)에 대하여 다단으로 보유 지지되고, 반응관(203) 내에 삽입된 상태에서, 2종류 이상의 원료 가스를 동시에 반응관(203) 내의 웨이퍼(200)에 대하여 공급하여, 웨이퍼(200) 상에 막을 형성하는 구성을 개시한다.
일본 특허 공개 제2011-52319호 공보
그러나, 상술한 특허문헌 1에 기재된 구성에서는, 웨이퍼와 웨이퍼와의 사이에 충분한 양의 원료 가스를 공급할 수 없기 때문에 막 두께 균일성이 나쁘고, 또한 원료 가스의 치환 효율이 나쁘기 때문에 처리 시간을 길게 요하여, 생산성이 악화된다는 문제가 있었다.
본 발명의 목적은, 막 두께 균일성을 개선함과 함께 생산성을 향상시키는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면,
복수매의 기판을 보유 지지하는 기판 보유 지지 부재와,
상기 기판 보유 지지 부재를 수용하고, 상기 기판을 처리하는 반응관과,
상기 반응관 내에 처리 가스를 공급하는 처리 가스 공급계와,
상기 반응관 내의 분위기를 배기하는 배기계를 갖고,
상기 반응관은, 상단에 폐색부를 갖고, 하단에 개구부를 갖는 원통부와,
상기 원통부의 일 측벽의 외측에 형성되어, 상기 처리 가스 공급계가 접속된 가스 공급 에리어와,
상기 가스 공급 에리어와 대향하는 상기 원통부의 타 측벽의 외측에 형성되어, 상기 배기계가 접속된 가스 배기 에리어를 구비하고,
상기 가스 공급 에리어 및 상기 가스 배기 에리어는, 그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비하도록 구성된 반도체 제조 장치가 제공된다.
본 발명에 따르면, 막 두께 균일성을 개선함과 함께 생산성을 향상시키는 것이 가능한 기술을 제공할 수 있다.
도 1은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 개략 구성도이며, 처리 로 부분의 종단면도이다.
도 2는 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 일부의 개략 구성도이며, 반응관의 횡단면도이다.
도 3은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계의 블록도이다.
도 4는 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 일부의 개략 구성도이며, 반응관 부분의 종단면도이다.
도 5는 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 일부의 개략 구성도이며, 반응관의 상부를 확대한 확대도이다.
도 6은 본 발명의 제2 실시 형태에서 적합하게 사용되는 반응관의 횡단면도이다.
도 7은 본 발명의 제2 실시 형태에서 적합하게 사용되는 반응관의 종단면도이다.
도 8은 종래예에서의 처리 가스의 흐름을 나타내는 개략도이다.
도 9는 본 발명에서의 처리 가스의 흐름을 나타내는 개략도이다.
이하, 본 발명의 제1 실시 형태에 대해서, 도 1을 사용해서 설명한다. 본 발명에서의 기판 처리 장치는, 반도체 장치의 제조에 사용되는 반도체 제조 장치의 일례로서 구성되어 있는 것이다.
도 1에 도시한 바와 같이, 처리 로(202)는, 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 처리 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 단관 구조의 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 형성되어 있다. 반응관(203)은, 하단부가 개방되고, 상단부가 평탄 형상의 벽체로 폐색된 천장이 있는 형상으로 형성되어 있다. 반응관(203)의 측벽은, 원통 형상으로 형성된 원통부(209)와, 원통부(209)의 외벽에 설치된 가스 공급 에리어(222)와 가스 배기 에리어(224)를 구비하고 있다. 반응관(203)의 원통부(209)의 내부에는, 처리실(201)이 형성되어 있다. 처리실(201)은, 기판으로서의 웨이퍼(200)를 처리 가능하게 구성되어 있다. 또한, 처리실(201)은, 웨이퍼(200)를 수평 자세로 수직 방향으로 다단으로 정렬한 상태에서 보유 지지 가능한 보트(217)를 수용 가능하게 구성되어 있다.
가스 공급 에리어(222)는, 볼록부가 원통부(209)의 일 측벽의 외측에 돌출되도록 형성되어 있다. 가스 공급 에리어(222)의 외벽은, 원통부(209)의 외벽의 일부로서의 일 측벽의 외측에 원통부(209)의 외경보다도 크고, 원통부(209)와 동심원 형상으로 형성되어 있다. 가스 공급 에리어(222)는, 하단부가 개방되고, 상단부가 평탄 형상의 벽체로 폐색된 천장이 있는 형상으로 구성되어 있다. 가스 공급 에리어(222)는, 그 길이 방향(상하 방향)을 따라 후술하는 노즐(410a 내지 410c)이 수용되고, 가스 공급 에리어(222)와 원통부(209)와의 사이의 경계를 구성하는 벽체인 경계벽(252)에는, 후술하는 가스 공급 슬릿(235)이 형성되어 있다. 경계벽(252)은, 원통부(209)의 일 측벽이며, 그 외측면은, 가스 공급 에리어(222)에 면하는 측면 부분을 구성한다.
원통부(209)의 가스 공급 에리어(222)가 형성된 일 측벽에 대향하는 타 측벽에는, 가스 배기 에리어(224)가 형성된다. 가스 배기 에리어(224)는, 가스 공급 에리어(222)와의 사이에 처리실(201)의 웨이퍼(200)가 수용되는 영역을 사이에 두도록 배치되어 있다. 가스 배기 에리어(224)는, 볼록부가 원통부(209)의 가스 공급 에리어(222)가 형성된 일 측벽에 대향하는 타 측벽의 외측으로 돌출되도록 형성되어 있다. 가스 배기 에리어(224)의 외벽은, 원통부(209)의 외벽의 일부로서의 타 측벽의 외측에 원통부(209)의 외경보다도 크고, 원통부(209)와 동심원 형상으로 형성되어 있다. 가스 배기 에리어(224)는, 하단부와 상단부가 평탄 형상의 벽체로 폐색된 천장이 있는 형상으로 구성되어 있다. 가스 배기 에리어(224)와 원통부(209)와의 사이의 경계를 구성하는 벽체인 경계벽(254)에는, 후술하는 가스 배기 슬릿(236)이 형성되어 있다. 경계벽(254)은, 원통부(209)의 일부이며, 그 외측면은, 가스 배기 에리어(224)에 면하는 측면 부분을 구성한다.
반응관(203)의 하단은, 원통체 형상의 매니폴드(226)에 의해 지지되어 있다. 매니폴드(226)는, 예를 들어 니켈 합금이나 스테인리스 등의 금속으로 형성되거나, 또는 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 형성되어 있다. 매니폴드(226)의 상단부에는 플랜지가 형성되어 있고, 이 플랜지 상에 반응관(203)의 하단부를 설치해서 지지한다. 이 플랜지와 반응관(203)의 하단부와의 사이에는 O링 등의 기밀 부재(220)를 개재시켜서 반응관(203) 내를 기밀 상태로 하고 있다.
매니폴드(226)의 하단의 개구부에는, 시일 캡(219)이 O링 등의 기밀 부재(220)를 통해서 기밀하게 설치되어 있고, 반응관(203)의 하단의 개구부측, 즉 매니폴드(226)의 개구부를 기밀하게 막도록 되어 있다. 시일 캡(219)은, 예를 들어 니켈 합금이나 스테인리스 등의 금속으로 형성되고, 원반 형상으로 형성되어 있다. 시일 캡(219)은, 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 그 외측을 덮도록 구성되어도 된다.
시일 캡(219) 상에는 보트(217)를 지지하는 보트 지지대(218)가 설치되어 있다. 보트 지지대(218)는, 예를 들어 석영이나 탄화규소 등의 내열성 재료로 구성되어 단열부로서 기능함과 함께 보트를 지지하는 지지체로 되어 있다. 보트(217)는, 보트 지지대(218) 상에 세워 설치되어 있다. 보트(217)는, 예를 들어 석영이나 탄화규소 등의 내열성 재료로 구성되어 있다. 보트(217)는, 도시하지 않은 보트 지지대에 고정된 저판과 그 상방에 배치된 천장판을 갖고 있으며, 저판과 천장판과의 사이에 복수개의 지주가 가설된 구성을 갖고 있다. 보트(217)에는 복수매의 웨이퍼(200)가 보유 지지되어 있다. 복수매의 웨이퍼(200)는, 서로 일정한 간격을 두고 수평 자세를 유지하면서 또한 서로 중심을 맞춘 상태에서 반응관(203)의 관축 방향으로 다단으로 적재되어 보트(217)의 지주에 지지되어 있다.
시일 캡(219)의 처리실(201)과 반대측에는 보트를 회전시키는 보트 회전 기구(267)가 설치되어 있다. 보트 회전 기구(267)의 회전축(265)은, 시일 캡을 관통해서 보트 지지대(218)에 접속되어 있고, 보트 회전 기구(267)에 의해, 보트 지지대(218)를 통해서 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시킨다.
시일 캡(219)은, 반응관(203)의 외부에 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되고, 이에 의해 보트(217)를 처리실(201) 내에 대하여 반입 반출하는 것이 가능하게 되어 있다.
매니폴드(226)에는, 노즐(340a 내지 340c)을 지지하는 노즐 지지부(350a 내지 350c)가, L자 형상으로 굴곡되어 매니폴드(226)를 관통하도록 해서 설치되어 있다. 여기에서는, 3개의 노즐 지지부(350a 내지 350c)가 설치되어 있다. 노즐 지지부(350a 내지 350c)는, 예를 들어 니켈 합금이나 스테인리스 등의 재료로 형성된다. 노즐 지지부(350)의 반응관(203)측의 일단에는 반응관(203) 내에 가스를 공급하는 가스 공급관(310a 내지 310c)이 각각 접속되어 있다. 또한, 노즐 지지부(350a 내지 350c)의 타단에는 노즐(340a 내지 340c)이 각각 접속되어 있다. 노즐(340a 내지 340c)은, 예를 들어 석영 또는 SiC 등의 내열성 재료로 형성된다.
노즐(340a 내지 340c)은, 가스 공급 에리어(222) 내의 하부로부터 상부에, 그 길이 방향(상하 방향)을 따라 설치되어 있다. 노즐(340a 내지 340c)은, I자형의 롱 노즐로서 각각 구성되어 있다. 노즐(340a 내지 340c)의 측면에는, 가스를 공급하는 가스 공급 구멍(232a 내지 232c)이 각각 형성되어 있다. 가스 공급 구멍(232a 내지 232c)은, 각각 반응관(203)의 중심을 향하도록 개구되어 있다. 이와 같이, 가스 공급 에리어(222)에는, 3개의 노즐(340a 내지 340c)이 설치되어 있어, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성되어 있다.
이상의 처리 로(202)에서는, 뱃치 처리되는 복수매의 웨이퍼(200)가 보트(217)에 대하여 다단으로 적층된 상태에서, 보트(217)가 보트 지지대(218)로 지지되면서 처리실(201)에 삽입되고, 히터(207)가 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열하도록 되어 있다.
가스 공급관(310a)에는, 상류 방향에서부터 순서대로, 제1 처리 가스를 공급하는 제1 처리 가스 공급원(360a), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a) 및 개폐 밸브인 밸브(243a)가 각각 설치되어 있다. 가스 공급관(310b)에는, 상류 방향에서부터 순서대로, 제2 처리 가스를 공급하는 제2 처리 가스 공급원(360b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241b) 및 개폐 밸브인 밸브(243b)가 각각 설치되어 있다. 가스 공급관(310c)에는, 상류 방향에서부터 순서대로, 제3 처리 가스를 공급하는 제3 처리 가스 공급원(360c), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241c) 및 개폐 밸브인 밸브(243c)가 각각 설치되어 있다. 가스 공급관(310a 내지 310c)의 밸브(243a 내지 243c)보다도 하류측에는, 불활성 가스를 공급하는 가스 공급관(310d 내지 310f)이 각각 접속되어 있다. 가스 공급관(310d 내지 310f)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241d 내지 241f) 및 개폐 밸브인 밸브(243d 내지 243f)가 각각 설치되어 있다.
주로, 가스 공급관(310a), MFC(320a), 밸브(330a)에 의해 제1 처리 가스 공급계가 구성된다. 제1 처리 가스 공급원(360a), 노즐 지지부(350a), 노즐(340a)을 제1 처리 가스 공급계에 포함해서 생각해도 된다. 또한, 주로, 가스 공급관(310b), MFC(320b), 밸브(330b)에 의해 제2 처리 가스 공급계가 구성된다. 제2 처리 가스 공급원(360b), 노즐 지지부(350b), 노즐(340b)을 제2 처리 가스 공급계에 포함해서 생각해도 된다. 또한, 주로, 가스 공급관(310c), MFC(320c), 밸브(330c)에 의해 제3 처리 가스 공급계가 구성된다. 제3 처리 가스 공급원(360c), 노즐 지지부(350c), 노즐(340c)을 제3 처리 가스 공급계에 포함해서 생각해도 된다. 또한, 본 명세서에서, 처리 가스라는 말을 사용한 경우에는, 제1 처리 가스만을 포함하는 경우, 제2 처리 가스만을 포함하는 경우, 제3 처리 가스만을 포함하는 경우, 또는 그것들 모두를 포함하는 경우가 있다. 또한, 처리 가스 공급계라는 말을 사용한 경우에는, 제1 처리 가스 공급계만을 포함하는 경우, 제2 처리 가스 공급계만을 포함하는 경우, 제3 처리 가스 공급계만을 포함하는 경우, 또는 그것들 모두를 포함하는 경우가 있다.
가스 배기 에리어(224)의 하부에는 배기구(230)가 설치되어 있다. 배기구(230)는 배기관(231)에 접속되어 있다. 배기관(232)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해서 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있어, 처리실(201) 내의 압력이 소정의 압력(진공도)으로 되도록 진공 배기할 수 있게 구성되어 있다. 진공 펌프(246)의 하류측의 배기관(232)은, 폐가스 처리 장치(도시하지 않음) 등에 접속되어 있다. 또한, APC 밸브(244)는, 밸브를 개폐해서 처리실(201) 내의 진공 배기·진공 배기 정지를 할 수 있고, 또한 밸브 개방도를 조절해서 컨덕턴스를 조정하여 처리실(201) 내의 압력 조정을 할 수 있게 되어 있는 개폐 밸브이다. 주로, 배기관(232), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한, 진공 펌프(246)도 배기계에 포함해도 된다.
반응관(203) 내에는 온도 검출기로서의 후술하는 온도 센서(238)가 설치되어 있고, 온도 센서(238)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 공급 전력을 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 되도록 구성되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(280)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는, 내부 버스(121e)를 통해서, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(280)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(280)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히, 프로그램이라고도 한다. 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241f), 밸브(243a 내지 243f), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(238), 보트 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독해서 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a 내지 241f)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243f)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(238)에 기초하는 히터(207)의 온도 조정 동작, 보트 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
컨트롤러(280)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이 외부 기억 장치(123)를 사용해서 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태의 컨트롤러(280)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(123)를 통해서 공급하는 경우에 제한하지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 간단히, 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 말을 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양쪽을 포함하는 경우가 있다.
이어서, 제1 실시 형태에서 적합하게 사용되는 반응관(203)의 형상에 대해서, 또한 도 2, 도 4, 도 5를 참조하여 설명한다.
도 2에 도시한 바와 같이, 가스 공급 에리어(222) 및 가스 배기 에리어(224)의 내부에는, 각 에리어 내 공간을 복수의 공간으로 구획하는 내벽(248, 250)이 형성되어 있다.
내벽(248, 250)은, 반응관(203)과 동일 재료로 형성되고, 예를 들어 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 형성되어 있다.
여기에서는, 각각 2개의 내벽을 구비하여, 3개의 공간으로 구획되어 있다.
가스 공급 에리어(222) 내를 구획하는 2개의 내벽(248)은, 가스 공급 에리어(222)를 하단측에서부터 상단측에 이르기까지 구획하여, 각각 격리한 3개의 공간을 형성하도록 설치되어 있다. 가스 공급 에리어(222)의 각 공간에는, 노즐(340a 내지 340c)이 각각 설치되어 있다. 내벽(248)에 의해, 각 노즐(340a 내지 340c)은 각각 독립된 공간 내에 설치되기 때문에, 각 노즐(340a 내지 340c)로부터 공급되는 처리 가스가 가스 공급 에리어(222) 내에서 혼합되는 것을 억제할 수 있다.
이와 같은 구성에 의해, 가스 공급 에리어(222) 내에서 처리 가스가 혼합되어 박막이 형성되거나, 부생성물이 생성되거나 하는 것을 억제할 수 있다.
적합하게는, 내벽(248)은, 가스 공급 에리어(222)를 하단에서부터 상단에 이르기까지 구획하여, 각각 격리한 3개의 공간을 형성하도록 설치하면 된다.
가스 배기 에리어(224) 내를 구획하는 2개의 내벽(250)은, 가스 배기 에리어(224)를 하단측에서부터 상단측에 이르기까지 구획하여, 각각 격리한 3개의 공간을 형성하도록 설치되어 있다.
적합하게는, 내벽(250)은, 가스 배기 에리어(224)를 하단측에서부터 상단에 이르기까지 구획하여, 각각 격리한 3개의 공간을 형성하도록 설치하면 된다.
적합하게는, 가스 공급 에리어(222) 및 가스 배기 에리어(224)의 외벽의 외경은, 동일 치수로 하면, 히터(207)와의 사이의 데드 스페이스를 적게 할 수 있는 등의 장점이 있다.
또한, 적합하게는, 가스 공급 에리어(222)와 가스 배기 에리어(224) 각각의 가스의 유로 단면적은 동일한 면적으로 한다. 또한, 적합하게는, 가스 공급 에리어(222) 내의 각 공간의 가스의 유로 단면적과, 가스 공급 에리어(222) 내의 각 공간에 대면하는 가스 배기 에리어(224) 내의 각 공간의 가스의 유로 단면적을 동일한 면적으로 한다.
도 4에 도시한 바와 같이, 원통부(209)의 가스 공급 에리어(222)측의 경계벽(252)의 하단에는, 노즐(340a 내지 340c)을 가스 공급 에리어(222) 내에 설치하기 위한 개구부(256)가 형성되어 있다. 노즐(340a 내지 340c)을 설치할 때는, 개구부(256)로부터 각 공간에 노즐(340a 내지 340c)을 삽입하고, 노즐(340a 내지 340c)의 하단을 노즐 지지부(350a 내지 350c)의 상단보다 일단 높고 들어올리고 나서, 노즐(340a 내지 340c)의 하단이 노즐 지지부(350a 내지 350c)의 상단보다도 낮아지게 함으로써 삽입한다.
노즐(340a 내지 340c)을 일단 들어올려서 노즐 지지부(350a 내지 350c)에 설치할 때, 노즐(340a 내지 340c)의 상단이 가스 공급 에리어(222)의 상단에 있는 천장부에 접촉하지 않도록, 가스 공급 에리어(222)의 노즐(340a 내지 340c) 상단보다 상부에 버퍼 영역(258)이 형성되어 있다(도 5 참조). 가스 공급 에리어(222)의 상단측은, 적어도 버퍼 영역(258)의 분만큼, 가스 배기 에리어(224)의 천장부보다도 높아지게 구성되어 있다.
본 실시 형태에서는, 가스 공급 에리어(222)의 천장부의 상단은, 원통부(209)의 천장부의 상단과 동일한 높이이며, 가스 배기 에리어(224)의 천장부의 상단은, 원통부(209)의 천장부의 상단보다도 낮아지도록 구성되어 있다.
바꿔 말하면, 버퍼 영역(258)의 분만큼 가스 공급 에리어(222)의 용적은 가스 배기 에리어(224)의 용적보다도 크게 되어 있도록 구성되어 있다. 또한, 본 실시 형태에서는, 가스 배기 에리어(224)의 상단의 높이를 가스 공급 에리어(222)의 상단보다도 낮게 구성하고 있지만, 가스 배기 에리어(224)이 용적의 사이즈에 의한 배기 밸런스에의 영향이나 부생성물의 부착 상태에의 영향 등이 문제없는 경우에는, 동일한 높이로 구성해도 된다.
가스 배기 에리어(224) 내의 내벽(250)은, 가스 배기 에리어(224)의 천장부의 상단에서부터 하단측의 배기구(230) 상단보다도 높은 위치까지 형성되어 있다. 가스 배기 에리어(224)의 하단측의 배기구(230) 상단보다도 높은 위치에서부터 가스 배기 에리어(224)의 하단까지는, 1개의 공간으로서 구성되어 있다.
가스 배기 에리어(224)의 내벽(250)으로 구획된 각 공간을 유통한 가스는, 배기구(230)의 바로 앞의 1개의 공간에서 합류하여, 배기구(230)로부터 배기되도록 되어 있다.
가스 공급 에리어(222) 내의 내벽(248)은, 가스 공급 에리어(222)의 천장부에서부터 반응관(203)의 하단부 상부까지 형성되어 있다. 구체적으로는, 내벽(248)의 하단은, 개구부(256)의 상단보다도 하측까지 형성된다. 내벽(248)의 하단은, 반응관(203)의 하단부보다도 상측이며, 노즐 지지부(350)의 상단부보다도 하측이 되는 영역으로서 형성되어 있다. 가스 공급 에리어(222) 내의 내벽(248)의 길이는, 반응관(203)의 길이보다도 짧고, 경계벽(252)의 길이보다도 길어지도록 구성되어 있다. 또한, 가스 공급 에리어(222) 내의 내벽(248)이, 가스 배기 에리어(224) 내의 내벽(250)보다도 더 길어지도록 구성되어 있다.
도 4에 도시한 바와 같이, 원통부(209)와 가스 공급 에리어(222)와의 경계벽(252)에는, 처리실(201) 내에 처리 가스를 공급하기 위한 가스 공급 슬릿(235)이 형성되어 있다. 가스 공급 슬릿(235)은, 상하 좌우 방향으로 복수 단, 복수 열의 매트릭스 형상으로 형성되어 있다. 즉, 가스 공급 에리어(222) 내의 내벽(248)으로 구획된 각 공간 각각에 대향한 가로로 긴 슬릿이 상하 방향으로 복수 형성되어 있다.
적합하게는, 가스 공급 슬릿(235)의 원통부(209) 둘레 방향의 길이는, 가스 공급 에리어(222) 내의 각 공간의 둘레 방향의 길이와 동일하게 하면, 가스 공급 효율이 향상되므로 좋다. 또한, 적합하게는, 가스 공급 슬릿(235)은, 내벽(248)과 경계벽(252)과의 연결 부분을 제외하고 가로로 길게, 세로 복수 단으로 형성하면 가스 공급 효율이 향상되므로 좋다. 또한, 적합하게는, 가스 공급 슬릿(235)의 열수는 구획된 공간과 동일 수로 형성되면 좋다. 본 실시 형태에서는, 3개의 공간이 형성되어 있기 때문에, 가스 공급 슬릿(235)은 3열 형성되어 있다.
원통부(209)와 가스 배기 에리어(224)와의 경계벽(254)에, 처리실(201) 내의 분위기를 배기하기 위한 가스 배기 슬릿(236)이 형성되어 있다. 가스 배기 슬릿(236)은, 상하 좌우 방향으로 복수 단, 복수 열의 매트릭스 형상으로 형성되어 있다. 즉, 가스 공급 에리어(222) 내의 내벽(248)으로 구획된 각 공간 각각에 대향하여, 원통부의 둘레 방향으로 길게 형성된 가로로 긴 슬릿이 상하 방향으로 복수 형성되어 있다.
적합하게는, 가스 배기 슬릿(236)의 원통부(209) 둘레 방향의 길이는, 가스 배기 에리어(224) 내의 각 공간의 둘레 방향의 길이와 동일하게 하면, 가스 배기 효율이 향상되므로 좋다. 또한, 적합하게는, 가스 배기 슬릿(236)은, 내벽(250)과 경계벽(254)과의 연결 부분을 제외하고 가로로 길게, 세로 복수 단으로 형성하면 가스 배기 효율이 향상되므로 좋다. 또한, 적합하게는, 가스 배기 슬릿(236)의 열수는 구획된 공간과 동일 수로 형성되면 좋다. 본 실시 형태에서는, 3개의 공간이 형성되어 있기 때문에, 가스 배기 슬릿(236)은 3열 형성되어 있다.
가스 공급 슬릿(235) 및 가스 배기 슬릿(236)은, 각각의 네 코너로서의 에지부가 곡면을 그리듯이 매끄럽게 형성되어 있다. 에지부에 라운딩 가공(R) 등을 행하여, 곡면 형상으로 함으로써, 에지부 주연의 가스의 정체를 억제할 수 있어, 에지부의 막의 형성을 억제할 수 있고, 또한 에지부에 형성되는 막의 막 박리를 억제할 수 있다.
도 5에 도시한 바와 같이, 가스 공급 슬릿(235) 및 가스 배기 슬릿(236)은, 처리실(201)에 수용된 상태의 보트(217)에 복수 단 적재된, 인접하는 웨이퍼(200)와 웨이퍼(200)와의 사이에 각각 배치되도록 형성되어 있다. 도 5에서는, 보트(217)를 생략하고 설명한다.
적합하게는, 보트(217)에 적재 가능한 최하단의 웨이퍼(200)와 그 상측에 인접하는 웨이퍼(200)와의 사이에서부터, 최상단의 웨이퍼(200)와 그 상측에 인접하는 보트(217)의 천장판과의 사이에 이르기까지, 각 웨이퍼(200)간, 웨이퍼(200)와 천장판간에 대하여 1단씩 대향하도록 형성되면 된다.
적합하게는, 각 가스 공급 슬릿(235)과 각 가스 배기 슬릿(236)은 동일한 높이, 동일한 수로 형성되면 된다. 예를 들어, 웨이퍼(200)가 25매 적재될 때는, 가스 공급 슬릿(235)과 가스 배기 슬릿(236)은 25단 형성되면 된다.
적합하게는, 가스 공급 슬릿(235) 및 가스 배기 슬릿(236)은, 일정한 세로 폭(L1)으로 형성하면 된다. 인접하는 웨이퍼(200)간의 간격을 L2로 하면, L1이 L2보다 더 작아지도록 가스 공급 슬릿(235) 및 가스 배기 슬릿(236)이 형성되면 된다. 이렇게 구성함으로써, 가스 공급 슬릿(235)으로부터 웨이퍼(200)에 흐르는 가스의 정체의 발생을 억제할 수 있고, 또한 처리실(201)로부터 가스 배기 슬릿(236)에 흐르는 가스의 정체의 발생을 억제할 수 있다.
적합하게는, L1은 1mm 내지 9mm 정도의 범위 내로 하면 되고, 더욱 적합하게는 3 내지 7mm 정도의 범위 내로 하면 된다. 또한, L2는 6 내지 14mm 정도의 범위 내로 하면 되고, 더욱 적합하게는 8 내지 12mm 정도의 범위 내로 하면 된다.
노즐(340a 내지 340c)의 가스 공급 구멍(234a 내지 234c)은, 각 가스 공급 슬릿(235)에 대하여 1개씩 대응하도록, 각 가스 공급 슬릿(235)의 세로 폭의 중앙 부분에 형성하면 된다. 예를 들어, 가스 공급 슬릿(235)이 25개 형성되어 있을 때는, 각각 25개의 가스 공급 구멍(234a 내지 234c)이 형성되면 된다. 즉, 가스 공급 슬릿(235)과 가스 공급 구멍(234a 내지 234c)은, 적재되는 웨이퍼(200)와 동일 수 형성되면 된다. 이러한 슬릿 구성으로 함으로써, 웨이퍼(200) 상에 웨이퍼(200)에 평행한 처리 가스의 흐름을 형성할 수 있다(도 5 화살표 참조).
또한, 가스 배기 에리어(224)에는 둘레 방향으로 길게 슬릿이 형성되어 있기 때문에, 웨이퍼(200) 상을 흐르는 처리 가스의 흐름을 어지럽히지 않고, 배기를 행할 수 있다. 예를 들어, 가스 배기 슬릿을 구멍 형상으로 형성한 경우, 처리 가스의 흐름이 구멍을 향해서 집중되기 때문에, 웨이퍼(200) 상에서 균일한 가스의 흐름을 형성할 수 없다. 이에 반해, 본 실시 형태에서는, 가스 배기 슬릿을 가로로 길게 형성하고 있기 때문에, 배기측에 근접함에 따라서 집중된 처리 가스의 흐름이 형성되지 않고, 웨이퍼(200) 상에서 흐름을 정류하여, 균일하게 처리 가스를 공급하는 것이 가능하게 된다.
이어서, 본 발명에 따른 기판 처리 장치의 동작 개요에 대해서 설명한다. 또한, 기판 처리 장치는, 컨트롤러(280)에 의해 제어되는 것이다.
소정 매수의 웨이퍼(200)가 적재된 보트(217)가 반응관(203) 내에 삽입되고, 시일 캡(219)에 의해, 반응관(203)이 기밀하게 폐색된다. 기밀하게 폐색된 반응관(203) 내에서는, 웨이퍼(200)가 가열됨과 함께, 처리 가스가 반응관(203) 내에 공급되고, 웨이퍼(200)에 가열 등의 열처리가 이루어진다.
열처리로서, 예를 들어 제1 처리 가스로서 NH3 가스와, 제2 처리 가스로서 HCDS 가스와, 제3 처리 가스로서 N2 가스를 교대 공급(HCDS 가스 공급→N2 퍼지→NH3 가스 공급→N2 퍼지를 1 사이클로 해서 이 사이클을 소정 횟수 반복하는 것)함으로써, 웨이퍼(200) 상에 SiN막을 형성한다. 처리 조건은, 예를 들어 하기와 같다.
웨이퍼(200)의 온도: 100 내지 600℃
처리실 내 압력: 1 내지 3000Pa
HCDS 가스 공급 유량: 1 내지 2000sccm
NH3 가스 공급 유량: 100 내지 10000sccm
N2 가스 공급 유량: 10 내지 10000sccm
SiN막의 막 두께: 0.2 내지 10nm
먼저, 제2 처리 가스 공급계의 가스 공급관(310b)으로부터 노즐(304b)의 가스 공급 구멍(234b), 가스 공급 슬릿(235)을 통해서 처리실(201) 내에 HCDS 가스를 공급한다. 구체적으로는, 밸브(330b, 330e)를 개방함으로써, 캐리어 가스와 함께, 가스 공급관(310b)으로부터 HCDS 가스의 처리실(201) 내에의 공급을 개시한다. 이때, APC 밸브(244)의 개방도를 조정하여, 처리실(201) 내의 압력을 소정의 압력으로 유지한다. 소정 시간이 경과하면, 밸브(330b)를 폐쇄하고, HCDS 가스의 공급을 정지한다.
처리실(201) 내에 공급된 HCDS 가스는, 웨이퍼(200)에 공급되어, 웨이퍼(200) 상을 평행하게 흐른 후, 가스 배기 슬릿(236)을 통해서 가스 배기 에리어(224)를 상부로부터 하부로 흘러, 가스 배기 에리어(224) 하부의 배기구(230)를 통해서 배기관(232)으로부터 배기된다.
또한, 처리실(201) 내에 HCDS 가스를 공급하는 동안에, 가스 공급관(310a 및 310c)에 접속되는 불활성 가스 공급관의 밸브(330a 및 330c)를 열어서 N2 등의 불활성 가스를 흘리면, 가스 공급관(310a 및 310c) 내에 HCDS 가스가 돌아 들어가는 것을 방지할 수 있다.
밸브(330b)를 폐쇄하고, 처리실(201) 내에의 HCDS 가스의 공급을 정지한 후에는, APC 밸브(244)를 열어서 처리실(201) 내를 배기하여, 처리실(201) 내에 잔류하고 있는 HCDS 가스나 반응 생성물 등을 배제한다. 이때, 불활성 가스 공급관(310a 및 310c)으로부터 N2 등의 불활성 가스를 각각 처리실(201) 내에 공급해서 퍼지하면, 처리실(201) 내로부터의 잔류 가스를 배제하는 효과를 더욱 높일 수 있다. 소정 시간 경과 후, 밸브(330e)를 폐쇄한다.
이어서, 제1 처리 가스 공급계의 가스 공급관(310a)으로부터 노즐(304a)의 가스 공급 구멍(234a), 가스 공급 슬릿(235)을 통해서 처리실(201) 내에 NH3 가스 가스를 공급한다. 구체적으로는, 밸브(330a, 330d)를 개방함으로써, 캐리어 가스와 함께, 가스 공급관(310a)으로부터 NH3 가스의 처리실(201) 내에의 공급을 개시한다. 이때, APC 밸브(244)의 개방도를 조정하여, 처리실(201) 내의 압력을 소정의 압력으로 유지한다. 소정 시간이 경과하면, 밸브(330a)를 폐쇄하고, NH3 가스의 공급을 정지한다.
처리실(201) 내에 공급된 NH3 가스는, 웨이퍼(200)에 공급되어, 웨이퍼(200) 상을 평행하게 흐른 후, 가스 배기 슬릿(236)을 통해서 가스 배기 에리어(224)를 상부로부터 하부로 흘러, 가스 배기 에리어(224) 하부의 배기구(230)를 통해서 배기관(232)으로부터 배기된다.
또한, 처리실(201) 내에 NH3 가스를 공급하는 동안에, 가스 공급관(310b 및 310c)에 접속되는 불활성 가스 공급관의 밸브(330e 및 330f)를 열어서 N2 등의 불활성 가스를 흘리면, 가스 공급관(310a 및 310c) 내에 NH3 가스가 돌아 들어가는 것을 방지할 수 있다.
밸브(330a)를 폐쇄하고, 처리실(201) 내에의 NH3 가스의 공급을 정지한 후에는, APC 밸브(244)를 열어서 처리실(201) 내를 배기하여, 처리실(201) 내에 잔류하고 있는 NH3 가스나 반응 생성물 등을 배제한다. 이때, 불활성 가스 공급관(310e 및 310f)으로부터 N2 등의 불활성 가스를 각각 처리실(201) 내에 공급해서 퍼지하면, 처리실(201) 내로부터의 잔류 가스를 배제하는 효과를 더욱 높일 수 있다. 소정 시간 경과 후, 밸브(330e)를 폐쇄한다.
웨이퍼(200)의 처리가 완료되면, 상기한 동작의 역 수순에 의해, 보트(217)가 반응관(203) 내로부터 반출된다. 웨이퍼(200)는, 웨이퍼 이동 탑재기에 의해, 보트(217)로부터 이동 탑재 선반의 카세트에 이동 탑재되고, 카세트는, 카세트 반송기에 의해, 이동 탑재 선반으로부터 카세트 스테이지에 이동 탑재되어, 도시하지 않은 외부 반송 장치에 의해, 하우징의 외부로 반출된다.
상술한 실시 형태에서는, 제1 처리 가스와 제2 처리 가스를 교대로 공급하는 경우에 대해서 설명했지만, 동시에 공급한 경우에도 본 발명은 적용할 수 있다.
도 8에 도시한 바와 같이, 종래의 반응관 구성에 의한 처리 가스 공급의 경우, 처리 가스는 가스 공급 구멍으로부터 상하 좌우에 걸쳐 원추 형상으로 공급된다. 처리 가스가 웨이퍼(200)에 평행한 방향(좌우 방향)뿐만 아니라, 상하 방향으로도 넓게 공급됨으로써, 웨이퍼(200)의 에지와 반응관과의 사이의 공간에 처리 가스가 흘러버려, 웨이퍼(200)간에 충분한 양의 처리 가스를 공급할 수 없다. 이 때문에, 가스 공급 구멍 근방의 막이 두꺼워져 균일한 막 두께가 얻어지지 않는다. 또한, 처리 가스의 치환 효율이 나빠, 생산성이 악화되어버린다.
이에 반해, 도 9에 도시한 바와 같이, 본 실시 형태에서는, 가스 공급 구멍의 하류측에 가로로 긴 가스 공급 슬릿(235)이 형성되어 있다. 상하 방향으로 공급된 처리 가스는 경계벽(252)에 부딪치기 때문에, 그대로 처리실(201) 내에 공급되지 않는다. 경계벽(252)에 부딪친 처리 가스는, 가스 공급 에리어(222) 내를 확산하여, 가스 공급 슬릿(235)의 형상을 따라서 가로 길이(좌우 방향)로 퍼져나가 처리실(201) 내에 공급된다. 가스 공급 슬릿(235)의 세로 폭은 웨이퍼(200)간의 간격보다도 짧게 형성되어 있기 때문에, 가스 공급 슬릿(235)을 통과한 처리 가스는, 다소 상하 방향으로 퍼져나갔다고 해도, 웨이퍼(200)의 에지와 반응관과의 사이의 공간에 처리 가스가 흐르지 않고, 웨이퍼(200)간에 충분한 양을 공급할 수 있어, 막 두께의 치우침을 경감할 수 있다.
본 실시 형태에서는, 가스 공급 에리어(222)와 가스 배기 에리어(224)를 원통부(209)(처리실(201))의 외측에 형성하고 있다. 이와 같은 구성에 의해, 반응관(203)의 용적을 종래의 반응관보다도 작게 하는 것이 가능하게 된다. 원통부(209)와 웨이퍼(200)의 에지와의 간격을 S1로 하면(도 5 참조), 즉, 종래의 반응관에 비해 용적을 30% 정도 삭감하는 것이 가능하게 되기 때문에, 생산성을 향상시키는 것이 가능하게 된다.
상술한 실시 형태에서는, 가스 공급 에리어(222)와 가스 배기 에리어(224)를 3개의 공간으로 구획했지만, 2개의 공간으로 구획해도 되고, 4개 이상의 공간으로 구획해도 된다. 원하는 가열 처리에 필요한 노즐의 개수에 맞추어, 구획하는 공간의 수는 적절히 변경 가능하다.
또한, 노즐의 형상을 각각 변경해도 된다. 예를 들어, 정 가운데의 공간에 설치되는 노즐의 가스 공급 구멍을 내벽을 향해서 개구시켜도 된다. 가스 공급구를 웨이퍼(200)가 아니라 내벽을 향해서 개구시킴으로써, 처리 가스를 공간 내에서 확산시켜, 각 가스 공급 슬릿으로부터 균일하게 처리 가스를 공급시키는 것이 가능해진다.
(3) 본 실시 형태에 의한 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(a) 가스 공급 에리어와 가스 배기 에리어를 처리실의 외측에 형성함으로써, 처리실에 가스를 공급하는 가스 공급 매체로서의 노즐을 설치할 필요가 없기 때문에, 기판의 에지와 반응관 내벽과의 간격을 짧게 할 수 있고, 또한 반응관의 용적을 종래의 반응관보다도 대폭 작게 하는 것이 가능하게 된다. 이에 의해, 웨이퍼의 에지와 반응관 내벽과의 사이의 간극으로부터 처리 가스가 흐르는 것을 억제할 수 있고, 기판간에 충분한 양의 처리 가스를 공급할 수 있어, 처리 가스의 치환 효율을 향상시키는 것이 가능하게 된다.
(b) 공급 버퍼 에리어 및 배기 버퍼 에리어에 내벽을 형성함으로써, 가스 공급 에리어와 가스 배기 에리어를 처리실의 외측에 형성함으로 인한 반응관의 강도의 저하를 보충할 수 있다. 이에 의해, 반응관의 용적을 작게 하면서도 반응관의 파손 리스크를 저하시킬 수 있다.
(c) 가스 공급 슬릿 및 가스 배기 슬릿의 에지부가 곡면을 그리듯이 매끄럽게 형성함으로써, 에지부 주연의 가스의 정체를 억제할 수 있어, 에지부의 막의 형성을 억제할 수 있고, 또한 에지부에 형성되는 막의 막 박리를 억제할 수 있다.
(d) 가스 공급 에리어의 내부의 내벽에 의해, 노즐이 각각 격리된 공간 내에 설치되기 때문에, 각 노즐로부터 공급되는 처리 가스가 가스 공급 에리어 내에서 혼합되는 것을 억제할 수 있다. 이와 같은 구성에 의해, 가스 공급 에리어 내에서 처리 가스가 혼합되어 박막이 형성되거나, 부생성물이 생성되거나 하는 것을 방지할 수 있고, 파티클 발생에 의한 수율 저하를 억제할 수 있다. 또한, 각 공간 내에서 처리 가스의 유속을 완화시킬 수 있기 때문에, 돌발적인 처리 가스의 유속 변화를 발생시키지 않고, 처리실 내에의 균일한 처리 가스의 공급을 촉진시켜, 생산성을 향상시키는 것이 가능하게 된다.
(e) 가스 공급 에리어의 노즐 상단보다 상부에 버퍼 영역이 형성됨으로써, 노즐 교환을 안전하게 행할 수 있다.
이어서, 본 발명의 제2 실시 형태에 대해서 설명한다. 본 실시 형태는, 가스 배기 에리어에 온도 센서(238)를 설치하기 위해서, 가스 배기 에리어(224)의 양단에 온도 측정 에리어(260)를 형성한 점에서 제1 실시 형태와 상이하다. 이하, 제2 실시 형태에서 적합하게 사용되는 반응관(203)의 형상에 대해서 도 6을 참조하여 설명한다. 또한, 제1 실시 형태와 동일한 구성에 대해서는 설명을 생략한다.
도 6에 도시한 바와 같이, 가스 배기 에리어(224)의 양단에는, 온도 센서(238)가 수납되는 온도 측정 에리어(260)가 형성되어 있다. 온도 측정 에리어(260)는, 하단부와 상단부가 평탄하게 폐색된 천장이 있는 형상으로 구성되고, 그 외벽은 원통부(209)와 동심원 형상으로 형성되어 있다. 또한, 온도 측정 에리어(260)는, 가스 배기 에리어(224)와 내벽(250)을 개재해서 연속해서 형성되어 있다. 온도 측정 에리어(260)와 원통부(209)와의 경계벽에는 가스 배기 슬릿(236)은 형성되어 있지 않다. 즉, 온도 측정 에리어(260)는, 가스 배기 에리어(224) 및 처리실(201)로부터 공간적으로 독립되어 형성되어 있다. 이러한 구성으로 함으로써, 온도 센서(238)가 처리 가스에 노출되는 것을 방지할 수 있기 때문에, 온도 센서(238)의 열화를 억제하는 것이 가능하게 된다.
도 7에 도시한 바와 같이, 온도 센서(238)에 의해, 보트(217)에 적재된 최상단의 웨이퍼(200) 상방에서의 처리실(201) 내의 온도를 계측하기 위해서, 온도 측정 에리어(260)의 천장부의 높이는, 원통부(209)의 높이와 동일한 높이로 형성되어 있다. 또한, 가스 배기 에리어(224)의 천장부도 마찬가지로, 원통부(209)의 높이와 동일한 높이로 형성된다. 즉, 본 실시예에서는, 가스 공급 에리어(222)와 가스 배기 에리어(224)와 온도 측정 에리어(260)와 원통부(209)와의 천장부의 높이가 동일한 높이로, 평탄해지도록 형성되어 있다. 이러한 구성으로 함으로써, 처리실(201) 내를 상하에 걸쳐 온도 측정하는 것이 가능하게 되어, 히터(207)에 의한 처리실(201) 내의 균일한 가열을 행할 수 있다. 또한, 반응관(203)의 강도를 높이는 것이 가능하게 된다. 또한, 가스 배기 에리어(224)의 양단에 온도 측정 에리어(260)를 형성함으로써, 메인터넌스성을 향상시킬 수 있다.
기판 처리 장치에서 행하여지는 성막 처리에는, 예를 들어 CVD, PVD, ALD, Epi, 기타 산화막, 질화막을 형성하는 처리, 금속을 포함하는 막을 형성하는 처리가 있다. 또한, 어닐 처리, 산화 처리, 확산 처리 등의 처리라도 상관없다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대해서 부기한다.
(부기 1)
복수매의 기판을 보유 지지하는 기판 보유 지지 부재와,
상기 기판 보유 지지 부재를 수용하고, 상기 기판을 처리하는 반응관과,
상기 반응관 내에 처리 가스를 공급하는 처리 가스 공급계와,
상기 반응관 내의 분위기를 배기하는 배기계를 갖고,
상기 반응관은, 상단에 폐색부를 갖고, 하단에 개구부를 갖는 원통부와,
상기 원통부의 일 측벽의 외측에 형성되어, 상기 처리 가스 공급계가 접속된 가스 공급 에리어와,
상기 가스 공급 에리어와 대향하는 상기 원통부의 타 측벽의 외측에 형성되어, 상기 배기계가 접속된 가스 배기 에리어를 구비하고,
상기 가스 공급 에리어 및 상기 가스 배기 에리어는, 그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비하도록 구성된 기판 처리 장치가 제공된다.
(부기 2)
부기 1에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어와 상기 원통부와의 경계벽에 상기 처리 가스를 상기 원통부 내에 공급하는 가스 공급 슬릿이 형성된다.
(부기 3)
부기 1 또는 2에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 배기 에리어와 상기 원통부와의 경계벽에 상기 원통부 내의 분위기를 배기하는 가스 배기 슬릿이 형성된다.
(부기 4)
부기 3에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 슬릿 및 상기 가스 배기 슬릿은, 상기 복수의 공간 각각에 대향한 위치에, 상하 방향으로 복수 형성되어 있다.
(부기 5)
부기 3 또는 4에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 슬릿 및 상기 가스 배기 슬릿은 상기 원통부의 둘레 방향으로 길게 형성되고, 그 양단부가 곡면 형상으로 형성되어 있다.
(부기 6)
부기 1 내지 5에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어의 횡단면적과 상기 가스 배기 에리어의 횡단면적은 동일하다.
(부기 7)
부기 1 내지 6에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어와 상기 가스 배기 에리어는 동일 수만큼 내벽을 갖고, 동일한 공간 수로 구획된다.
(부기 8)
부기 6 또는 부기 7에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어의 각 공간의 횡단면적과 상기 가스 공급 에리어의 각 공간에 대면하는 상기 가스 배기 에리어의 각 공간의 횡단면적은 동일한 면적이다.
(부기 9)
부기 1 내지 8에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어의 용적이 상기 가스 배기 에리어의 용적보다 더 크다.
(부기 10)
부기 9에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어의 내벽의 길이가 상기 가스 배기 에리어의 내벽의 길이 보다도 더 길다.
(부기 11)
부기 1 내지 10에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어와 상기 원통부와의 경계벽의 하단에 개구부가 형성되어 있다.
(부기 12)
부기 11에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 에리어의 내벽의 길이는, 상기 원통부의 길이보다도 짧고, 또한 상기 가스 공급 에리어와 상기 원통부와의 상기 경계벽의 길이보다도 길다.
(부기 13)
부기 1 내지 12에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 슬릿의 세로 길이는, 상기 기판간의 간격보다도 짧다.
(부기 14)
부기 4 내지 13에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 슬릿 및 상기 가스 배기 슬릿의 단수는 상기 기판의 매수와 동일 수이다.
(부기 15)
부기 4 내지 부기 14에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 슬릿 및 상기 가스 배기 슬릿의 열 수는, 상기 가스 공급 에리어의 상기 공간 수 및 상기 가스 배기 에리어의 상기 공간 수와 동일 수이다.
(부기 16)
부기 15에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 공급 슬릿 및 상기 가스 배기 슬릿의 가로 길이는, 상기 가스 공급 에리어의 상기 공간 및 상기 가스 배기 에리어의 상기 공간의 가로 길이와 동일하다.
(부기 17)
부기 1에 기재된 기판 처리 장치이며, 바람직하게는
상기 가스 배기 에리어에 인접해서 상기 반응관 내의 온도를 측정하는 온도 센서가 내부에 설치된 온도 측정 에리어가 형성되어 있다.
(부기 18)
본 발명의 다른 일 형태에 의하면,
상단에 폐색부를 갖고, 하단에 개구부를 갖는 원통부와, 상기 원통부의 일 측벽의 외측에 형성된 가스 공급 에리어와, 상기 가스 공급 에리어와 대향하는 상기 원통부의 타 측벽의 외측에 형성된 가스 배기 에리어로 구성된 반응관의 원통부 내에 기판을 반송하는 공정과,
그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비한 가스 공급 에리어로부터 상기 원통부 내에 처리 가스를 공급하는 공정과,
그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비한 가스 배기 에리어로부터 상기 원통부 내의 분위기를 배기하는 공정을 갖는 반도체 장치의 제조 방법 및 기판 처리 방법이 제공된다.
(부기 19)
본 발명의 또 다른 일 형태에 의하면,
상단에 폐색부를 갖고, 하단에 개구부를 갖는 원통부와, 상기 원통부의 일 측벽의 외측에 형성된 가스 공급 에리어와, 상기 가스 공급 에리어와 대향하는 상기 원통부의 타 측벽의 외측에 형성된 가스 배기 에리어로 구성된 반응관의 원통부 내에 기판을 반송하는 수순과,
그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비한 가스 공급 에리어로부터 상기 원통부 내에 처리 가스를 공급하는 수순과,
그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비한 가스 배기 에리어로부터 상기 원통부 내의 분위기를 배기하는 수순,
을 컴퓨터에 실행시키는 프로그램, 또는, 해당 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
(부기 20)
본 발명의 또 다른 일 형태에 의하면,
상단에 폐색부를 갖고, 하단에 개구부를 갖는 원통부와,
상기 원통부의 일 측벽의 외측에 형성된 가스 공급 에리어와,
상기 가스 공급 에리어의 대향하는 상기 원통부의 타 측벽의 외측에 형성된 가스 배기 에리어를 갖고,
상기 가스 공급 에리어 및 상기 가스 배기 에리어는, 그 내부의 공간을 복수의 공간으로 구획하는 내벽을 구비하도록 구성되는 반응관이 제공된다.
[산업상 이용 가능성]
본 발명에 따른 기판 처리 장치, 반도체 장치의 제조 방법, 반응관에 의하면, 반응관의 용적을 삭감하고, 처리 가스의 치환 효율을 향상시키는 것이 가능하게 된다.
280 : 컨트롤러(제어부) 200 : 웨이퍼
201 : 처리실 202 : 처리 로
203 : 반응관 207 : 히터
222 : 가스 공급 에리어 224 : 가스 배기 에리어
231 : 배기관 310a 내지 310f : 가스 공급관

Claims (16)

  1. 복수매의 기판을 보유 지지하는 기판 보유 지지 부재를 수용하고, 상기 기판을 처리하는 반응관과,
    상기 반응관 내에 제1 및 제2 처리 가스를 공급하는 처리 가스 공급계를 구비하고,
    상기 반응관은, 상단에 폐색부를 갖고, 하단에 개구부를 갖는 통부와, 상기 통부의 일 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 공급 에리어와, 상기 가스 공급 에리어와 대향하는 상기 통부의 타 측벽을 외측으로 돌출시킨 볼록부로서 형성되어, 내부의 가스를 배기하는 가스 배기 에리어와, 상기 가스 배기 에리어와 상기 통부 내와의 경계벽에 설치되고, 상기 통부 내의 분위기를 배기하는 가스 배기 슬릿을 구비하고,
    상기 가스 배기 슬릿은, 상기 기판 보유 지지 부재의 보유 지지 영역에 복수 설치되는 둘레 방향으로 긴 슬릿을 포함하고,
    상기 가스 공급 에리어 내에는, 가스를 공급하는 가스 공급 구멍을 각각의 측면에 갖는 제1, 제2 및 제3 노즐이, 상하 방향을 따라 배치되고,
    상기 제1 노즐은, 제1 처리 가스를 공급 가능하게 구성되고,
    상기 제1 노즐과 제3 노즐 사이에 배치된 제2 노즐은, 제2 처리 가스를 공급 가능하게 구성되고,
    제1 노즐과 제3 노즐은, 불활성 가스를 공급 가능하게 구성된 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제1, 제2 및 제3 노즐의 가스 공급 구멍은, 각각 반응관의 중심을 향하도록 개구되는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 가스 배기 에리어는, 상기 통부의 외경보다도 크고, 상기 통부와 동심으로 형성된 외벽과, 상단부를 폐색하는 천장을 갖고,
    상기 제1, 제2 및 제3 노즐의 가스 공급 구멍은, 상기 기판을 향하도록 개구되는 기판 처리 장치.
  4. 제2항에 있어서,
    상기 제1, 제2 및 제3 노즐의 가스 공급 구멍은, 복수매의 상기 기판에 대응하여 설치되고, 상기 제1 및 제2 처리 가스는 상기 기판에 평행하게 흐르는 기판 처리 장치.
  5. 삭제
  6. 제1항에 있어서,
    상기 둘레 방향으로 긴 슬릿은, 복수매의 상기 기판에 대응하여 설치되는 기판 처리 장치.
  7. 제1항에 있어서,
    상기 반응관은, 상기 가스 배기 에리어의 하부에 직접 설치되고, 상기 통부 내의 분위기를 배출하는 배기구를 갖는 기판 처리 장치.
  8. 제7항에 있어서,
    상기 가스 공급 에리어의 천장부의 상단은 통부의 천장부의 상단과 동일한 높이인 기판 처리 장치.
  9. 제1항에 있어서,
    상기 처리 가스 공급계는, 제2 노즐이 제2 처리 가스를 공급하고 있는 동안, 제1 노즐과 제3 노즐로부터 불활성 가스를 공급하는 밸브를 갖는 기판 처리 장치.
  10. 제9항에 있어서,
    상기 제2 처리 가스는 Si를 포함하고, 제1 처리 가스는 NH3이고, 상기 기판에 SiN 막을 형성하는 기판 처리 장치.
  11. 상단에 폐색부를 갖고, 하단에 개구부를 갖는 통부와,
    상기 통부의 일 측벽의 외측에, 하단을 개방시키고 상단을 폐색시켜 형성된 가스 공급 에리어와,
    상기 가스 공급 에리어의 대향하는 상기 통부의 타 측벽의 외측에 상단을 폐색시켜 형성된 가스 배기 에리어를 갖는 반응관으로서,
    상기 가스 공급 에리어와 상기 통부 내와의 경계벽은, 상기 통부의 측벽의 일부이며, 상기 경계벽에는, 처리 가스를 상기 통부 내에 공급하는 가스 공급 슬릿이, 적어도 2종류의 처리 가스에 대응하여 형성되고,
    상기 가스 공급 에리어는, 가스 공급 에리어 내의 하부로부터 상부에 상하 방향을 따라 설치되고, 상기 가스 공급 슬릿의 각각에 개별적으로 처리 가스를 공급하는 구멍을 갖는 처리 가스 공급계로부터 공급되는 상기 2종류의 처리 가스의 각각을, 반응관 내에 공급하기 전에 상기 가스 공급 에리어 내에서 혼합시키지 않고, 대응하는 상기 가스 공급 슬릿으로부터, 상기 반응관 내의 모든 기판에 한번에 공급 가능하게 구성되고,
    상기 가스 공급 에리어의 구획된 공간의 적어도 2개에는, 2종류의 처리 가스가 각각 공급되도록 구성되고,
    상기 가스 공급 슬릿은, 상기 2종류의 처리 가스의 적어도 1개에 대하여 복수매의 기판과 동수, 1열로 형성되어, 상기 2종류의 처리 가스가 상기 반응관 내를 가로로 길게 퍼져 나가도록 공급하고,
    상기 가스 배기 에리어와 상기 통부 내와의 경계벽은, 상기 통부의 측벽의 일부이며, 상기 처리 가스를 상기 통부 내로부터 배출하는 가스 배기 슬릿이 횡방향으로 복수 형성되고,
    상기 가스 배기 에리어는, 상기 통부의 외경보다도 크고, 상기 통부와 동심으로 형성된 외벽과, 상단부를 폐색하는 천장을 갖고,
    상기 가스 배기 에리어의 하부에 설치된 배기구로부터 상기 통부 내의 분위기가 배기되는, 반응관.
  12. 상단에 폐색부를 갖고, 하단에 개구부를 갖는 통부와, 상기 통부의 일 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 공급 에리어와, 상기 가스 공급 에리어와 대향하는 상기 통부의 타 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 배기 에리어로 구성된 반응관의 통부 내에, 기판 보유 지지 부재에 보유 지지된 복수 매의 기판을 반송하는 공정과,
    상기 가스 공급 에리어에 배치된 상하 방향을 따라 설치되고, 가스를 공급하는 가스 공급 구멍을 각각의 측면에 갖는 제1, 제2 및 제3 노즐이, 상기 통부 내에 제1 또는 제2 처리 가스를 공급하는 공정과,
    상기 가스 배기 에리어와 상기 통부 내와의 경계벽에 상기 기판 보유 지지 부재의 보유 지지 영역에 면하여 설치된 둘레 방향으로 긴 슬릿을 포함하는 가스 배기 슬릿을 통해서, 상기 통부 내의 분위기를 배기하는 공정
    을 갖고,
    상기 공급하는 공정은,
    (A) 제1 처리 가스가, 제1 노즐로부터 공급되는 공정과,
    (B) 제2 처리 가스가, 제1 노즐과 제3 노즐 사이에 배치된 제2 노즐로부터 공급되는 공정과,
    (C) 불활성 가스가, 제1 노즐과 제3 노즐로부터 공급되는 공정
    을 갖는 반도체 장치의 제조 방법.
  13. 제12항에 있어서,
    상기 공급하는 공정은,
    (D) 제1 처리 가스가 공급되는 공정 또는 제2 처리 가스가 공급되는 공정 후에, 불활성 가스를 적어도 제1 노즐 또는 제3 노즐 중 어느 것으로부터 공급하여, 상기 제1 또는 제2 처리 가스를 퍼지하는 공정을 더 갖고, 상기 공정 (C)는, 제2 노즐이 제2 처리 가스를 공급하고 있는 동안에 행해져, 공정 (A)에서 (D)를 포함하는 사이클을 소정 횟수 반복하는 반도체 장치의 제조 방법.
  14. 복수매의 기판을 보유 지지하는 기판 보유 지지 부재를 수용하고, 상기 기판을 처리하는 반응관과,
    상기 반응관 내에 제1 및 제2 처리 가스를 공급하는 처리 가스 공급계를 구비하고,
    상기 반응관은, 상단에 폐색부를 갖고, 하단에 개구부를 갖는 통부와, 상기 통부의 일 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 공급 에리어와, 상기 가스 공급 에리어와 대향하는 상기 통부의 타 측벽을 외측으로 돌출시킨 볼록부로서 형성되고, 내부의 가스를 배기하는 가스 배기 에리어와, 상기 가스 배기 에리어와 상기 통부 내와의 경계벽에 설치되고, 상기 통부 내의 분위기를 배기하는 가스 배기 슬릿을 구비하고,
    상기 가스 배기 슬릿은, 상기 기판 보유 지지 부재의 보유 지지 영역에 복수 설치되는 둘레 방향으로 긴 슬릿을 포함하고,
    상기 가스 공급 에리어 내에는, 상하 방향을 따라 설치되고, 가스를 공급하는 가스 공급 구멍을 각각의 측면에 갖는 제1, 제2 및 제3 노즐이 수납된 기판 처리 장치.
  15. 복수매의 기판을 보유 지지하는 기판 보유 지지 부재를 수용하고, 처리 가스 공급계가 공급하는 처리 가스에 의해 상기 기판을 처리하는 반응관으로서,
    상단에 폐색부를 갖고, 하단에 개구부를 갖는 통부와,
    상기 통부의 일 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 공급 에리어와,
    상기 가스 공급 에리어와 대향하는 상기 통부의 타 측벽을 외측으로 돌출시킨 볼록부로서 형성되고, 내부의 가스를 배기하는 가스 배기 에리어와,
    상기 가스 배기 에리어와 상기 통부 내와의 경계벽에 설치되고, 상기 통부 내의 분위기를 배기하는 가스 배기 슬릿을 구비하고,
    상기 가스 배기 슬릿은, 상기 기판 보유 지지 부재의 보유 지지 영역에 복수 설치되는 둘레 방향으로 긴 슬릿을 포함하고,
    상기 가스 공급 에리어는, 상하 방향을 따라 설치되고, 가스를 공급하는 가스 공급 구멍을 각각의 측면에 갖는 제1, 제2 및 제3 노즐을, 수용 가능하게 구성된 반응관.
  16. 상단에 폐색부를 갖고, 하단에 개구부를 갖는 통부와, 상기 통부의 일 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 공급 에리어와, 상기 가스 공급 에리어와 대향하는 상기 통부의 타 측벽을 외측으로 돌출시킨 볼록부로서 형성된 가스 배기 에리어를 구비하는 반응관의 통부 내에, 기판 보유 지지 부재에 보유 지지된 복수 매의 기판을 반송하는 공정과,
    상기 가스 공급 에리어에 배치된 상하 방향을 따라 설치되고, 가스를 공급하는 가스 공급 구멍을 각각의 측면에 갖는 제1, 제2 및 제3 노즐이, 상기 통부 내에 제1 또는 제2 처리 가스를 공급하는 공정과,
    상기 가스 배기 에리어와 상기 통부 내와의 경계벽에 상기 기판 보유 지지 부재의 보유 지지 영역에 면하여 설치된 둘레 방향으로 긴 슬릿을 포함하는 가스 배기 슬릿을 통해서, 상기 통부 내의 분위기를 배기하는 공정
    을 갖는 반도체 장치의 제조 방법.
KR1020207016533A 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관 KR102268374B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020187036811A KR102123942B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
PCT/JP2014/076023 WO2015041376A1 (ja) 2014-09-30 2014-09-30 基板処理装置、半導体装置の製造方法および反応管

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187036811A Division KR102123942B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관

Publications (2)

Publication Number Publication Date
KR20200070430A KR20200070430A (ko) 2020-06-17
KR102268374B1 true KR102268374B1 (ko) 2021-06-23

Family

ID=52689018

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020187036811A KR102123942B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
KR1020207016533A KR102268374B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
KR1020177007859A KR101949060B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187036811A KR102123942B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177007859A KR101949060B1 (ko) 2014-09-30 2014-09-30 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관

Country Status (6)

Country Link
US (3) US10811271B2 (ko)
JP (1) JP6257000B2 (ko)
KR (3) KR102123942B1 (ko)
SG (1) SG11201702331YA (ko)
TW (1) TWI585853B (ko)
WO (1) WO2015041376A1 (ko)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JPWO2017037937A1 (ja) * 2015-09-04 2018-04-26 株式会社日立国際電気 反応管、基板処理装置および半導体装置の製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6462161B2 (ja) 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017168513A1 (ja) * 2016-03-28 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6823575B2 (ja) * 2016-11-18 2021-02-03 株式会社Kokusai Electric 基板処理装置、反応管及び半導体装置の製造方法
KR102147174B1 (ko) * 2016-11-18 2020-08-28 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6782350B2 (ja) * 2017-02-15 2020-11-11 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法及びプログラム
JP6749268B2 (ja) * 2017-03-07 2020-09-02 東京エレクトロン株式会社 基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110809818B (zh) 2017-08-30 2023-07-11 株式会社国际电气 保护板、衬底处理装置及半导体器件的制造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN110870050A (zh) * 2017-09-25 2020-03-06 株式会社国际电气 衬底处理装置、石英反应管、清洁方法以及程序
JP6820816B2 (ja) 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
JP6916766B2 (ja) 2018-08-27 2021-08-11 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10593572B2 (en) 2018-03-15 2020-03-17 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US10714362B2 (en) 2018-03-15 2020-07-14 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20230113657A (ko) * 2018-03-23 2023-07-31 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10903096B2 (en) * 2018-04-06 2021-01-26 Varian Semiconductor Equipment Associates, Inc. System and apparatus for process chamber window cooling
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP6856576B2 (ja) * 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020026445A1 (ja) * 2018-08-03 2020-02-06 株式会社Kokusai Electric 基板処理装置およびデバイス製造方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102256106B1 (ko) * 2019-12-13 2021-05-27 주식회사 금강쿼츠 반도체 제조에 사용되는 압력보충용 2중관 노즐
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113821066B (zh) * 2021-10-19 2022-07-15 中国工程物理研究院激光聚变研究中心 一种减小动态保护性气体对热处理过程温度控制影响的装置及方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05198517A (ja) 1992-01-21 1993-08-06 Tokyo Electron Ltd バッチ式ガス処理装置
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2001077042A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd 縦型熱処理装置
JP2002222806A (ja) 2001-01-26 2002-08-09 Ebara Corp 基板処理装置
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP2004162114A (ja) * 2002-11-13 2004-06-10 Mitsubishi Electric Corp 薄膜形成装置
US20070137794A1 (en) * 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
JP4282539B2 (ja) * 2004-04-28 2009-06-24 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4426518B2 (ja) 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
JP5157100B2 (ja) 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR101043211B1 (ko) 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5087657B2 (ja) 2009-08-04 2012-12-05 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5977274B2 (ja) * 2013-03-21 2016-08-24 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具

Also Published As

Publication number Publication date
KR20170042789A (ko) 2017-04-19
US10811271B2 (en) 2020-10-20
JP6257000B2 (ja) 2018-01-10
KR20200070430A (ko) 2020-06-17
US20210159083A1 (en) 2021-05-27
TW201630070A (zh) 2016-08-16
US20190393045A1 (en) 2019-12-26
KR20180137607A (ko) 2018-12-27
WO2015041376A1 (ja) 2015-03-26
TWI585853B (zh) 2017-06-01
US10950457B2 (en) 2021-03-16
KR102123942B1 (ko) 2020-06-17
JPWO2015041376A1 (ja) 2017-07-06
KR101949060B1 (ko) 2019-05-20
SG11201702331YA (en) 2017-04-27
US20170294318A1 (en) 2017-10-12

Similar Documents

Publication Publication Date Title
KR102268374B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 반응관
KR102238585B1 (ko) 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 프로그램
KR102165123B1 (ko) 기판 처리 장치, 반응관, 반도체 장치의 제조 방법 및 기록 매체
KR102207673B1 (ko) 성막 장치, 성막 방법 및 단열 부재
US11495477B2 (en) Substrate processing apparatus
KR20190002659A (ko) 기판 처리 장치, 노구부, 반도체 장치의 제조 방법 및 프로그램
JP6255267B2 (ja) 基板処理装置、加熱装置、天井断熱体及び半導体装置の製造方法
KR102099330B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN112349619A (zh) 基板处理装置、半导体器件的制造方法、基板保持器具及记录介质
US20230055506A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, method of processing substrate, and gas injector
JP2018078323A (ja) 基板処理装置
JPWO2019038974A1 (ja) 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
US11898247B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US20230005760A1 (en) Substrate processing apparatus, inner tube and method of manufacturing semiconductor device
CN115315790A (zh) 基板处理装置、半导体装置的制造方法、存储介质和内管

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant