CN1781181A - 具有温度受控的表面的基片支架 - Google Patents

具有温度受控的表面的基片支架 Download PDF

Info

Publication number
CN1781181A
CN1781181A CNA2004800113593A CN200480011359A CN1781181A CN 1781181 A CN1781181 A CN 1781181A CN A2004800113593 A CNA2004800113593 A CN A2004800113593A CN 200480011359 A CN200480011359 A CN 200480011359A CN 1781181 A CN1781181 A CN 1781181A
Authority
CN
China
Prior art keywords
fluid
valve
supply
fluid course
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800113593A
Other languages
English (en)
Other versions
CN100565787C (zh
Inventor
基思·E.·道森
埃里克·H.·兰兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1781181A publication Critical patent/CN1781181A/zh
Application granted granted Critical
Publication of CN100565787C publication Critical patent/CN100565787C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Temperature (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种具有温度受控的基片支架表面的基片支架,包括:液体供应系统,该液体供应系统具有至少一个液体源和多个液流通道。该液体供应系统可以包括控制液体分配到各液流通道的阀门。该液体供应系统还可以包括控制其运行的控制器。液体可以以各种方式通过液流通道进行分配。该基片支架还可以包括一个传热气体供应系统,其在基片支架表面和基片支架表面上支撑的基片之间供应传热气体。

Description

具有温度受控的表面的基片支架
技术领域
本发明涉及等离子体处理装置,更特别涉及一种温度受控的基片支架。
背景技术
等离子体处理装置可用于的处理包括半导体、介质和金属材料的等离子蚀刻、物理气相沉积、化学气相沉积(CVD)、离子注入和抗蚀剂去除。这种基片包括,例如,半导体晶片和纯平显示器。基片可以具有各种规则和不规则的形状和尺寸。
一种在半导体材料处理中使用的等离子体处理装置包括一个反应室,该反应室包括一个上电极(阳极)和一个下电极(阴极)。待处理的基片支撑在反应室中的基片支架上。气体分配系统将生产气体引入反应室。在阳极和阴极之间建立的磁场从生产气体产生等离子。
在等离子体处理期间,希望通过蚀刻从基片去除的材料和沉积在基片上的材料是均匀的,使得由处理后的基片制造的设备具有良好的电性能。但是,因为半导体晶片尺寸增加,而在晶片上形成的特征的尺寸降低,所以要实现这个目标变得日益困难。
在等离子体处理期间,用包括机械吸盘和静电吸盘(ESC)的基片架将基片固定在反应室中的基片支架上。在美国专利5,310,453、5,382,311、5,609,720、5,671,116、5,675,471、5,835,334、6,077,357、6,108,189、6,179,921、6,231,776、6,310,755、6,373,681、6,377,437、6,394,797和6,378,600中提出了设计用于影响在等离子体处理装置中使用的基片支架中的传热的系统。
发明内容
本发明提供一种用在等离子体处理装置中的基片支架。该基片支架能够提供用于在等离子体处理期间支撑基片的基片支架表面处的温度控制。
在一个优选实施方案中,基片支架包括:一个主体,该主体具有一个支架表面来支撑等离子体处理装置的反应室中的基片;第一液流通道,其延伸通过主体的第一部分,以便提供对支架表面第一部分的温度控制;第二液流通道,其延伸通过主体的第二部分,以便提供对支架表面第二部分的温度控制;与第一液流通道流体连通的第一入口和第一出口;以及,与第二液流通道流体连通的第二入口和第二出口。
基片支架的另一个优选实施方案包括:一个主体,该主体具有一个支架表面来支撑等离子体处理装置的反应室中的基片;安装在主体中的多个液流通道,每个液流通道都有一个供应管路和一个回流管路;以及,包括至少一个液体源的液体供应系统。该液体供应系统用于从该至少一个液体源为一个或多个选定的液流通道供应液体,从而产生跨越支架表面的受控温度分配。
附图说明
在附图中:
图1说明了一个可以在其中使用基片支架优选实施方案的典型等离子反应室。
图2是基片支架一个优选实施方案的一部分的侧截面图。
图3是该基片支架一个优选实施方案的一个表面的底部平面图,包括径向分配的液流通道和热中断(thermal break)。
图4是该基片支架另一个优选实施方案的一个表面的底部平面图,包括另外一种液流通道分配和热中断。
图5示意性说明了该基片支架的一个优选实施方案,包括一个液体供应系统和一个传热气体供应系统。
图6示意性说明了该液体供应系统的一个优选实施方案。
图7示意性说明了该液体供应系统的另一个优选实施方案。
具体实施方式
为了增强等离子体处理装置中对基片进行等离子体处理的均匀性,希望能够控制在进行材料沉积和/或蚀刻的基片暴露表面处的温度分配。在等离子蚀刻处理中,在基片暴露表面处的基片温度和/或化学反应速率的变化会导致基片蚀刻速率以及蚀刻选择性和各向异性发生不希望的变化。在材料沉积处理中例如CVD处理中,沉积期间基片温度会显著影响沉积速率和沉积在基片上的材料的组成和特性。
在基片支架中已经使用了背面气冷系统来提供基片支架和基片支架上支撑的基片之间的传热。但是,已经确定,如氦等传热气体的传热作用取决于基片支架的表面情况,而这些情况在处理期间可能会变化。因此,传热气体的散热能力在处理期间可能会降低。
基片支架包含在处理期间为基片支架散热的冷却剂流动通道。在这种冷却系统中,温度受控且具有设定的容积流率的冷却剂被引入冷却剂流动通道。在冷却系统中基片支架包括一个供应管路和一个回流管路。但是,已经确定,随着从基片支架散热,沿着通道长度从入口到出口会形成一个显著的温度梯度。因此,与传热气体和基片接触的基片支架表面处的温度均匀性不受控制。基片架也在基片背面提供一个散热片。所造成的从基片到基片架的传热导致了已知等离子体处理装置中跨越基片的温度的不均匀性。
考虑到这些缺点,提供一种用在等离子体处理装置中的温度受控的基片支架。在一个优选实施方案中,该基片支架提供跨越基片支架表面的温度控制。该基片支架包括一个包括多个液流通道的液体供应系统。通过控制至液流通道的液体分配,能够实现对基片支架表面的希望的温度控制。此外,优选地,能够控制液体的参数,例如通过液流通道的液体温度和/或流率。
在一个优选实施方案中,基片支架给定位置处的温度与相应的液流通道的温度有关。通过减少和/或消除在基片支架一个或多个部分处于一个或多个液流通道中的液体流动,使这些部分能够比基片支架的位于在其中液体流动速率较高的液流通道附近的其它部分更热。
在一个优选实施方案中,基片支架的液体供应系统包括一个或多个阀门。可控制阀门的运行以使液体分配到一个或多个液流通道,从而阻止液体流过一个或多个液流通道,和/或在一个或多个液流通道之间转移液体。
在一个优选实施方案中,基片支架包括一个传热气体供应系统,其通过操作在基片支架表面和在该表面上支撑的诸如半导体晶片等的基片之间的供应传热气体。通过在基片支架中引入液体供应系统,在处理期间可以控制基片支架表面处的温度情况,而且可以供应传热气体,以便控制基片和基片支架之间的传热气体。因此,使用基片支架可以实现加强对晶片温度的增强的控制。
图1中说明了一个可以在其中使用该基片支架的优选实施方案的典型等离子体反应器。该等离子体反应器是一个电感耦合的等离子体反应器。本领域普通技术人员了解,基片支架可以用在希望在等离子体处理期间控制基片温度的其它类型的等离子体反应器中,例如其它电感耦合等离子体反应器结构、ECR、磁控管和电容耦合等离子体反应器。图1中显示的等离子体反应器包括一个反应室10,该反应室10包括一个具有静电吸盘34的基片架12,其提供对基片13的夹紧力,以及对基片的RF偏置。基片13例如可以是半导体晶片。聚焦环14增强了基片13上方的等离子。一个能量源放置在反应室10上方,用于产生反应室中的等离子。能量源例如可以是由RF源供电以产生等离子的天线18。反应室10包括用于使反应室内部保持在希望气压的抽真空装置。
一个介电窗20放置在天线18和处理室10的内部之间,并形成反应室10的一个壁。一个气体调节板22位于窗口20下方并包括开口,生产气体通过所述开口从气体源23传送到反应室10。
运行时,基片13放置在基片架12的暴露表面上,并通过静电吸盘34支撑就位。如下所述,优选地利用传热气体来提高基片13和静电吸盘34之间的传热。生产气体通过窗口20和气体调节板22之间的缝隙供应到反应室10。通过对天线18供给RF能量,在基片13和窗口20之间的空间中产生等离子。
图2说明了基片支架40的一个优选实施方案的一部分,其包括一个静电吸盘。基片支架40包括一个主体50、一个介质层55、一个嵌入到介质层55中的导电电极60、一个电连接到导电材料60的电源65和一个盖70。电源65为电极60供应直流偏置。介质层55包括一个支撑基片13的暴露表面57。该暴露表面57优选地是圆形。盖70包括一个表面72,其面对着主体50的表面52。
作为选择,基片支架40可以包括一个不同类型的吸盘,例如一个机械吸盘。该机械吸盘包括一个机械夹紧装置,例如夹紧环,用于在处理期间将基片固定在吸盘上。
基片支架40优选地包括多个液流通道,例如液流通道80、82和84。如下面更为详细描述的,液体可以以受控方式循环通过液流通道,从而控制暴露表面57处的温度分配。
基片支架40优选地还包括一个或多个热中断90。如下面更为详细描述的,热中断90降低主体50的一个或多个部分处的传热。液体供应系统和热中断提供基片支架40中的受控传热能力,从而提供基片13的增强的温度控制。
基片支架40的主体50可以包括一种合适的金属或金属合金,例如铝、铝合金,等。
介质层55可以包括一种合适的陶瓷材料,例如氧化铝,等等。导电材料60可以是钨,等等。
盖70可以包括一种合适的金属或金属合金,例如铝或铝合金。
图3显示用于晶片处理的基片支架40的一种优选配置,其包括环形液流通道80、82和84的排列。液流通道80、82和84优选地包括在主体50的表面52中形成的沟道。液流通道80、82和84优选地与暴露表面57平行。
盖70的表面72邻接主体50的表面52,因此部分地限定了液流通道80、82和84。盖70通过紧固件等等可拆卸地附着在主体50上,或者作为选择,通过焊接、铜焊等永久地附着在主体上。
基片支架40中的液流通道可以具有各种截面形状,例如包括半圆形、圆形、矩形、正方形、其它多边形,等等。可以根据包括例如液体通过液流通道的希望容积流率和液体传热能力在内的各种情况来选择液流通道的截面面积(即,横截面面积),以提供希望的液流通道容积。例如,为了增加液体的传热,可以增加液体通过液流通道的容积流率,或可以使用具有增强的传热能力的液体。
基片支架40中的液流通道可以都具有相同的截面面积,或两个或更多液流通道可以具有不同的截面面积。例如,在主体50的希望传热相对较高的一个或多个部分中,液流通道的截面面积可以比希望传热较低的其它部分中的截面面积大。
液流通道80、82和84优选地在主体50的表面52中同心排列,例如在图3所示的优选实施方案中那样。液流通道的这种同心排列可以提供对跨越暴露表面57的径向温度分配的控制。
作为选择,液流通道在基片支架40中可以为其它排列,以便在暴露表面57提供其它受控的空间温度分配。例如,图4说明了一种非同心排列,液流通道81、83、85、87径向偏离且周向间隔开,通道89位于中间。热中断90环绕中心的液流通道89。径向延伸的热中断90位于液流通道81、83、85和87之间,将液流通道与其它液流通道和/或基片支架40的各部分物理地热隔离开。液流通道81、83、85、87和89优选地是环形的。但是,这些液流通道可以是其它配置,例如矩形、椭圆形,等等。液流通道81、83、85、87和89优选地与暴露表面57平行。但是,这些液流通道可以是其它取向。
基片支架40中的液流通道可以由任何适合的工艺形成。例如,可以通过机械加工,或者作为选择,通过如铸造方法等用于制造主体的方法,在主体50的表面52中形成液流通道。
液体可以是任何具有在基片支架40中使用的适合传热特性的液体。例如,液体可以是水(例如去离子水)、乙二醇、硅油、水/乙二醇混合物,等等。通过使用不同的液体和/或不同液体的混合物、改变液体流率和/或改变液体的初始温度(即,引入一个或多个液流通道的液体的温度),可以控制液体的冷却性能。液体的温度优选地是如下所述那样可以由液体供应系统调整。
热中断90控制基片支架40中的传热。例如,在图2所示的优选实施方案中,热中断90位于相邻的液流通道80、82和82、84之间,而且一个热中断90由液流通道84环绕。热中断90通过将液流通道彼此物理地热隔离,从而降低在液流通道80、82和82、84之间的部分中和液流通道84内部的部分中通过主体50的传热。在图4所示的优选实施方案中,热中断90控制液流通道81、83、85、87和89之间的传热。通过降低液流通道之间的传热,降低了液流通道彼此之间的传热效应(即,加热和/或冷却),从而增强了对液流通道和主体的环绕部分的热控制。
热中断还可以(或者是作为选择)设置于液流通道80、82和84(或液流通道81、83、85、87和89)的上方和/或下方,和/或位于基片支架40的主体50的其它位置。例如,一个或多个热中断可以从液流通道80向外径向放置,以控制该部分中的传热。热中断降低在液流通道之间的部分中和/或在基片支架40的其它部分中通过主体50的热传导。
热中断90可以包括各种具有降低的导热性的适合材料。例如,热中断90可以包括具有低导热性的适合流体,包括诸如空气等的气体和液体。作为选择,热中断90可以包括具有低导热性的适合固体材料,包括金属和其它材料,例如不锈钢,以及热绝缘体,例如合适的陶瓷材料和聚合物。
在基片支架40中热中断90可以具有不同的配置。如图1和2所示,热中断90优选地包括位于相邻液流通道之间、紧邻液流通道和/或在液流通道上方和/或下方的环形沟道。热中断可以是液流通道之间的空隙,例如暴露到大气的部分。
图5说明了基片支架40的一个优选实施方案,其包括一个液体供应系统100、一个传热气体供应系统200和一个控制器300。液体供应系统100包括一个或多个为液流通道供应液体的液体源。液体供应系统优选地包括多个液体源,例如液体源110、120和130。液体源110、120和130可以包括冷却器、热交换器等等,用于为相应的液流通道80、82和84(图3)或液流通道81、83、85、87和89(图4)供应液体,优选地是以选定的温度和/或流率进行供应。液体供应系统100还可以包括合适的流体泵装置。
在图2和3所示的实施方案中,液流通道80、82和84分别包括供应管路112、122和132以及回流管路114、124和134,分别与液体源110、120和130流体连通。通过供应管路112、122和132,分别从液体源110、120和130供应液体给液流通道80、82和84,液体循环通过液流通道80、82和84,然后液体分别通过回流管路114、124和134返回到液体源110、120和130。
传热气体供应系统200包括一个或多个传热气体源,例如传热气体源210和220。传热气体源210、220分别供应传热气体到传热气体通道212、214和222、224。传热气体经过传热气体通道212、214和222、224流到暴露表面57,在此传热气体通过暴露表面57中形成的开口和/或沟道(没有显示)分配到暴露表面57和基片13的背面14之间的接口部分230(图5中放大显示的)。在共同转让的美国专利No.5,609,720中提出了一种合适的传热气体供应系统,其提供基片支架暴露表面的区域冷却,在此全文引用该申请作为参考。
传热气体可以是具有足以在等离子体处理期间从基片13传热的传热能力的任何气体。例如,传热气体可以是氦,等等。
液体源110、120和130以及传热气体源210和220优选地由控制器300控制。控制器300能够控制液体源110、120和130的运行,以选择性地改变供应到液流通道80、82和84的液体的参数,而且还控制传热气体源210和220的运行,以选择性地改变供应给传热气体通道212、214和222、224的传热气体的参数。如下面更为详细描述的,控制器300优选地能够控制液体源110、120、130的运行,以控制由液体源供应给液流通道的液体的分配、温度和/或流率,而且优选地能够控制传热气体源210和220的运行,以控制供应给接口部分230的传热气体的流率,从而实现跨越暴露表面57的希望的温度分配。
控制器300优选地接收来自一个或多个温度传感器(没有显示)的信号,温度传感器被设置成用于测量基片支架40的一个或多个选定位置处和/或基片13上(例如背面14处)的温度。例如,温度传感器可设置成用于测量主体50中邻近一个或多个液流通道的位置处、基片支架40外围部分中、和/或邻近暴露表面57的位置处的温度。温度传感器优选地提供实时温度测量,从而能够反馈控制液体源110、120和130以及下述相关的阀门的运行,以及反馈控制传热气体源210和220的运行。控制器300能够手动操作,或被编程为自动控制液体源110、120和130、传热气体源210和220以及如下所述的相关阀门的运行。
图6说明基片支架的液体供应系统400的另一种优选实施方案。液体供应系统400包括诸如冷却器、热交换器等的液体源140,以及用于提供与液流通道80、82和84(或流体通道81、83、85、87、89)的流体连通的供应管路142和回流管路144。作为选择,液体源140可以包括多个源,例如分离的冷却器、热交换器等,其与相应的液流通道80、82和84(或流体通道81、83、85、87、89)在运行上相关。液体供应系统400还可以包括一个合适的流体泵装置。
一个或多个阀门优选地是与液流通道80、82和84(或流体通道81、83、85、87、89)的运行相关的,以在液体供应系统400中提供对去往和来自液流通道的液体分配的控制。例如,阀门150和152优选地与液流通道80在运行上相关,阀门154和156优选地与液流通道82在运行上相关,阀门158和160优选地与液流通道84在运行上相关。
阀门152、156和160优选地用于提供液体通过液流通道80、82和84的各种流态(flow pattern)。阀门152、156和160以及液体源140优选地受控制器300的控制。在一个优选实施方案中,液体按通过冷却剂流动通道80、82和84的方向A顺序地分配。例如,阀门152、156和160可以操作成使液体以此顺序顺序地流经液流通道80、82和84。为了实现这种顺序流动,通过供应管路142和回流管路112,将液体从液体源140首先分配到液流通道80,其中,关闭阀门156和160。为了接下来将液体分配到液流通道82,打开阀门156,关闭阀门160。
如果不希望液体同时通过液流通道80和82,可以关闭阀门152,以阻止液体流过液流通道80。如果希望液体继续流过液流通道80,但降低流率,同时液体也流过液流通道82,可以部分关闭阀门152以减少液体流过液流通道80。然后,为了使液体分配到液流通道84,打开阀门160。如果不希望液体同时流过液流通道80和/或液流通道82和液流通道84,可以关闭阀门152和/或阀门156以阻止液体流过液流通道80和/或液流通道82。如果希望液体继续流过液流通道80和/或液流通道82,但降低流率,同时液体流过液流通道84,可以部分关闭阀门152和/或阀门156以减少液体流过液流通道80和/或液流通道82。
在另一个优选实施方案中,液体可以旁路冷却剂流动通道80、82和84(或液流通道81、83、85、87、89)中的一个或多个,以增加一个或多个未旁路的液流通道的液体的容积流率。这种实施方案使得能够调整基片支架40的选定部分处的温度,从而达到和/或保持跨越暴露表面57的希望的温度分配。液体通过供应管路142从液体源140分配到液流通道80、82和84中的一个或两个。例如,通过打开和/或关闭阀门156和160,可以将液体分配到液流通道80,然后只分配到液流通道82、84中的一个,或者作为选择,可以通过回流管路144回到液体源140。例如,如果希望液体流过液流通道84,但不流过液流通路82,可以关闭阀门156,打开阀门160。如果希望旁路液流通道82和84两者,并将液体从液流通道80通过回流管路144直接返回到液体源140,可以关闭阀门156和160两者,打开阀门154和158。
在另一个优选实施方案中,液体冷却剂供应系统400可以通过操作按与从回流管路144到供应管路142的相反方向B来分配液体。例如,如果希望以84、82和80的顺序来按顺序地分配液体到液流通道84、82和80,或旁路液流通道80、82和84中的任一个,则液体可以按方向B流动,阀门152、156和160可以通过操作用于实现希望的液体分配。
液体供应系统400(以及这里描述的液体供应系统的其他实施方案)优选地用于改变液体流过液流通道80、82和84(或液流通道81、83、85、87、89)的时间的量。例如,为了加强对主体50受液流通道84作用的部分中的冷却,液体流过液流通道84可以长于流过液流通道80和/或液流通道82。
此外,液体供应系统400(以及这里描述的液体供应系统的其他实施方案)优选地通过操作用于提供流过相应的液流通道80、82和84(或液流通道81、83、85、87、89)的不同的液体流率。例如,为了增加流过液流通道84的液体流率,可以部分或全部关闭阀门152和/或阀门156以减少或消除液体流过液流通道80和/或液流通道82。通过将阀门152和/或阀门156置于部分或全部关闭位置,也能够增加液体源140供应的液体的流率。减少和/或消除液体流过一个或多个液流通道导致主体50受这些液流通道作用的部分的加热,同时增加从主体50的受那些具有增加的液体流动的液流通道作用的部分的散热。
此外,优选地可以控制分配到液流通道80、82和84(或液流通道81、83、85、87、89)的液体的温度。例如,优选地可以以大致相同的温度从液体源140供应液体到每个液流通道80、82和84。作为选择,可以以不同的温度供应液体到液流通道80、82和84中的至少一个。例如,可以供应具有第一温度的液体到液流通道84,同时可以供应具有较高或较低的第二温度的液体到液流通道80和82。作为选择,可以将具有三种不同温度的液体分配到相应的液流通道80、82和84。
基片支架40中的液流通道的数量可以被改变以控制冷却。例如,基片支架40可以包括三个液流通道,如在图6所示的实施方案中那样,也可以包括其它数量的冷却剂流动通道,例如两个、四个、五个(例如图5)或更多。例如,在图6所示的基片支架40中,通过消除中间液流通道82,可以将液流通道的数量减少到两个。作为选择,可以提供从液流通道84径向地向外的第四液流通道(没有显示),以提供对主体50外围部分处的温度的控制。
阀门150、152、154、156、158和160优选地是双通阀门。但是,作为选择,在液体供应系统400(和这里描述的液体供应系统的其他实施方案)中可以使用其它种类的阀门,例如单向阀门、三通阀门或其它适合的阀门。例如,如果不希望具有反向流动能力,则阀门150、152、154、156、158和160可以是单向阀门。作为选择,可以使用一个或多个三通阀门以减少液体供应系统400和这里描述的液体供应系统的其他实施方案中的阀门的数量。阀门优选地用于控制流过各阀门的液体的流率。
图7说明液体供应系统500的另一种优选实施方案,其包括一个液体源140和冷却剂流动通道80、82和84。液体源140可以包括一个单冷却器、热交换器,等等,或者它可以包括多个液体源。例如,液体源140可以包括一个与各个相应的液流通道80、82和84在运行上相关的液体源。作为选择,每个液体源可以与液流通道80、82和84中的两个或多个在运行上相关,如下所述。冷却剂供应系统500优选地还包括一个控制器(没有显示)用来控制其运行。液体供应系统500还可以包括一个合适的流体泵装置。
液流通道80、82和84分别具有一个关联的供应管路112、122和132,以及一个关联的回流管路114、124和134。阀门116、126和136优选地分别安装在供应管路112、122和132上,并且阀门114、124和134优选地分别安装在回流管路114、124和134上。旁路115和125分别提供供应管路112、122和122、132之间的流体连通,而旁路119和129分别提供回流管路114、124和124、134之间的流体连通。
液体供应系统500优选地用于提供液体流过液流通道80、82和84的不同流态。例如,通过阀门的选择性操作,液体可以只分配到液流通道80、82和84中的一个、两个或全部三个。例如,为了将液体只分配到液流通道80,可以关闭阀门117、121、126和136,打开阀门116和118。
为了将液体只分配到液流通道82,可以按各种不同结构来配置阀门。例如,可以关闭除阀门126和128之外的所有阀门。作为选择,可以打开阀门116、117、126、128、127和136,关闭阀门118、121、131和138。在这种配置中,通过将液体从供应管路112和132分配到液流通道82,可以增加流过液流通道82的液体的流率。作为选择,可以关闭阀门116和117或阀门127、136,以防止液体从供应管路112或132分配到与液流通道82关联的供应管路122。
为了将液体分配到液流通道80和82而不分配到液流通道84,可以按各种不同结构来配置阀门。例如,可以打开阀门116、117、126、118、121和128,关闭阀门127、131、136和138。在这种配置中,可以通过旁路115和119分配液体。作为选择,可以打开阀门116、126、118和128,关闭阀门127、131、136和138,以及另外的阀门117和121。在这种配置中,不通过旁路115和119分配液体。
为了将液体分配到液流通道80、82和84中的每个,可以按各种不同结构配置阀门。例如,可以打开所有阀门,以便通过旁路117、121、127和131分配液体。作为选择,可以关闭一个或多个阀门117、121、127和131,以防止液体分别流过旁路115、119、125和129中的一个或多个。
液体可以以各种瞬时流态分配到液流通道80、82和84。例如,液体可以以80、82和84的顺序按顺序地分配到液流通道80、82和84,以84、82和80的顺序分配到液流通道84、82和80,以80、84和82的顺序分配到液流通道80、84和82,或以84、80和82的顺序分配到液流通道84、80和82。
作为选择,图7所示的液体供应系统500中的液体流动方向可以从方向A反向到方向B,以便一个或多个回流管路114、124和134用作供应管路,而一个或多个供应管路112、122和132用作回流管路。
图7所示的液体供应系统500优选地用于控制液体流过液流通道80、82和84的时间的量。此外,液体供应系统500优选地用于提供流过相应的液流通道80、82和84的不同的液体流率。另外,分配到液流通道80、82和84的液体的温度优选地是可控的。例如,优选地,可以从液体源140按大致相同的温度把液体供应到液流通道80、82和84中的每一个。作为选择,可以按不同的温度把液体供应到液流通道80、82和84中的至少一个。
控制器优选地用于控制液体源140和阀门116、117、118、121、126、127、128、131、136和138的操作,以控制液体流过液流通道80、82和84,从而控制基片支架40的暴露表面57处的温度分配。控制器优选地还用于控制基片支架暴露表面和暴露表面上支撑的基片的背面之间的传热气体的分配。
因此,通过提供对液体分配到多个液流通道的控制,基片支架40可以提供对基片支架上支撑的基片的增强的温度控制。基片支架优选地还提供传热气体的受控的分配。基片支架可以根据不同处理需要提供基片温度分配图。例如,基片支架可以提供跨越基片的均匀或不均匀的径向温度分配,或者它可以供选择地提供其它希望的均匀或不均匀的温度分配。
基片支架可以用在等离子体处理装置中,在该装置中执行包括等离子蚀刻、物理气相沉积、化学气相沉积(CVD)、离子注入和抗蚀剂去除在内的各种等离子体处理操作。等离子体处理操作可以对各种基片材料(包括半导体、介质和金属材料)执行。基片支架可以在这种等离子体处理操作期间提供增强的基片温度控制。此外,基片支架可以用在各种类型的等离子体处理装置中。
虽然参照本发明的具体实施方案对其进行了详细描述,但是对于本领域技术人员来说很明显,在不偏离附后权利要求的范围的情况下,可以进行各种变化和修改,以及使用其等同物。

Claims (43)

1、一种可用在等离子体处理装置中的基片支架,包括:
主体,其具有一个支撑面,用于支撑位于等离子体处理装置的反应室中的基片;
第一液流通道,其延伸通过主体的第一部分,从而提供对支架表面的第一部分的温度控制;
第二液流通道,其延伸通过主体的第二部分,从而提供对支架表面的第二部分的温度控制;
第一入口,其与第一液流通道流体连通;
第二入口,其与第二液流通道流体连通;
第一出口,其与第一液流通道流体连通;以及
第二出口,其与第二液流通道流体连通。
2、如权利要求1的基片支架,还包括:
第一供应管路,其与第一入口流体连通;以及
第二供应管路,其与第二入口流体连通;
第一回流管路,其与第一出口流体连通;以及
第二回流管路,其与第二出口流体连通。
3、如权利要求2的基片支架,还包括:
温度受控的液体源,其与第一供应管路和第二供应管路流体连通;
第一阀门,通过操作用于控制流过第一供应管路的液体流;以及
第二阀门,通过操作用于控制流过第二供应管路的液体流。
4、如权利要求3的基片支架,还包括:
第三阀门,用于控制通过第一回流管路的液体流;以及
第四阀门,用于控制通过第二回流管路的液体流。
5、如权利要求1的基片支架,还包括:
第一温度受控的液体源,其与第一供应管路流体连通;
第一阀门,其通过操作用于控制通过第一供应管路的液体流;
第二温度受控的液体源,其与第二供应管路流体连通;以及
第二阀门,其通过操作用于控制通过第二供应管路的液体流。
6、如权利要求3的基片支架,还包括一个控制器,其通过操作用于选择性地打开和关闭第一阀门和第二阀门。
7、如权利要求5的基片支架,还包括一个控制器,其通过操作用于选择性地打开和关闭第一阀门和第二阀门。
8、如权利要求1的基片支架,其中,支架表面是圆形的,第一液流通道平行于支架表面并按圆周方向延伸,以及,第二液流通道平行于支架表面并按圆周方向延伸,该第二液流通道与第一液流通道是同心的。
9、如权利要求1的基片支架,其中,支架表面是圆形的,第一液流通道平行于支架表面并按圆周方向延伸,以及,第二液流通道平行于支架表面并按圆周方向延伸,该第二液流通道与第一液流通道是不同心的。
10、如权利要求1的基片支架,其中,支架表面包括一个静电吸盘的暴露表面。
11、如权利要求1的基片支架,其中,支架主体包括在第一液流通道和第二液流通道之间的热中断。
12、如权利要求11的基片支架,其中,该热中断包括一个延伸进入主体的开放沟道。
13、如权利要求1的基片支架,还包括:
第三液流通道,其延伸通过主体的第三部分,从而提供对支架表面的第三部分的温度控制;以及
第三入口,其与第三液流通道流体连通。
14、如权利要求13的基片支架,其中,支架主体包括在第一液流通道和第二液流通道之间的第一热中断,以及在第二液流通道和第三液流通道之间的第二热中断。
15、如权利要求1的基片支架,还包括至少一个位于支架表面上的气体通道开口,以及一个气体供应入口,通过该入口可以将传热气体供应到气体通道。
16、如权利要求2的基片支架,还包括:
温度受控的液体源;
第一阀门;
第二阀门;
第三阀门;
第四阀门;以及
公用管路,其与第一供应管路、第二供应管路、第一回流管路和第二回流管路流体连通;
其中,公用管路(i)从温度受控的液体源供应液体到第一供应管路和第二供应管路,以及(ii)从第一回流管路和第二回流管路接收液体;
其中,第一阀门控制液体流过第一回流管路;
其中,第二阀门控制液体流过第二回流管路;
其中,第三阀门控制液体流过公用管路在第一供应管路和第一回流管路之间的部分;以及
其中,第四阀门控制液体流过公用管路在第二供应管路和第二回流管路之间的部分。
17、如权利要求1的基片支架,还包括:
温度受控的液体源;
第一阀门;
第二阀门;
第三阀门;
第四阀门;
第五阀门;
第六阀门;
第一连接管路和第二连接管路,其与第一供应管路、第二供应管路、第一回流管路和第二回流管路流体连通;
其中,第一供应管路和第二供应管路分别从温度受控的液体源供应液体到第一液流通道和第二液流通道;
其中,第一连接管路在第一供应管路和第二供应管路之间延伸;
其中,第二连接管路在第一回流管路和第二回流管路之间延伸;
其中,第一阀门控制液体流过第一供应管路;
其中,第二阀门控制液体流过第二供应管路;
其中,第三阀门控制液体流过第一连接管路;
其中,第四阀门控制液体流过第一回流管路;
其中,第五阀门控制液体流过第二回流管路;以及
其中,第六阀门控制液体流过第二连接管路;
18、一种等离子体处理装置,其包括根据权利要求1的基片支架。
19、一种用于热控制等离子体处理装置中的基片支架的方法,包括:
把基片放置在根据权利要求1的在等离子体处理装置的反应室中的基片支架的支架表面上;
向反应室中引入生产气体;
由反应室中的生产气体产生等离子体;
处理基片;以及
从至少一个液体源把液体选择性地至少通过第一入口分配到第一液流通道和/或通过第二入口分配到第二液流通道,从而控制支架表面的第一部分和/或第二部分处的温度。
20、一种用在等离子体处理装置中的基片支架,包括:
主体,其具有用于支撑等离子体处理装置的反应室中的基片的支架表面;
在主体中提供的多个液流通道,每个液流通道具有一个供应管路和一个回流管路;以及
液体供应系统,其包括与液流通道的供应管路和回流管路流体连通的至少一个液体源,该液体供应系统通过操作用于从该至少一个液体源供应液体到一个或多个选定的液流通道,以控制支架表面的一个或多个选定部分处的温度。
21、如权利要求20的基片支架,还包括一个控制器,其通过操作用于控制液体供应系统的操作,从而:
(i)从该至少一个液体源顺序地分配液体到一个或多个选定的液流通道;
(ii)从该至少一个液体源分配液体到至少一个液流通道,同时旁路至少一个液流通道;
(iii)控制分配到选定的液流通道的液体的温度;
(iv)控制分配到选定的液流通道的液体的流率;和/或
(v)控制流过选定的液流通道的液体的流动方向。
22、如权利要求20的基片支架,其中,液流通道在主体中同心排列。
23、如权利要求20的基片支架,其中,所述至少一个液体源包括至少一个冷却器和/或热交换器,其通过操作用于控制液体的温度。
24、如权利要求20的基片支架,还包括至少一个热中断,该热中断将至少两个液流通道彼此热隔离。
25、如权利要求20的基片支架,还包括一个传热气体供应系统,其通过操作用于在支架表面和基片之间供应传热气体。
26、如权利要求20的基片支架,其包括一个静电吸盘。
27、一种包括根据权利要求20的基片支架的等离子体处理装置。
28、一种热控制等离子体处理装置中的基片支架的方法,包括:
将基片放置在根据权利要求20的在等离子体处理装置的反应室中的基片支架的支架表面上;
向反应室中引入生产气体;
由反应室中的生产气体产生等离子体;
处理基片;以及
从至少一个液体源选择性地把液体至少通过第一入口分配到至少第一液流通道和/或通过第二入口分配到第二液流通道,从而控制支架表面的一个或多个部分处的温度。
29、一种在等离子体处理装置中处理半导体基片的方法,包括:
把半导体基片放置在等离子体处理装置的反应室中的支架主体的支架表面上;
在延伸通过支架主体的第一部分的第一液流通道中循环液体,以便提供对支架表面的第一部分的温度控制;以及
在延伸通过支架主体的第二部分的第二液流通道中循环液体,以便提供对支架表面的第二部分的温度控制;
其中,按下列方式在第一液流通道和第二液流通道中循环液体:供应液体到与第一液流通道流体连通的第一入口,使液体流出与第一液流通道流体连通的第一出口,供应液体到与第二液流通道流体连通的第二入口,以及使液体流出与第二液流通道流体连通的第二出口。
30、如权利要求29的方法,还包括:
使液体流过与第一入口流体连通的第一供应管路;
使液体流过与第二入口流体连通的第二供应管路;
使液体流过与第一出口流体连通的第一回流管路;以及
使液体流过与第二出口流体连通的第二回流管路。
31、如权利要求30的方法,还包括:
使液体从温度受控的液体源流到第一供应管路和第二供应管路;
打开或关闭第一阀门,以控制液体流过第一供应管路;以及
打开或关闭第二阀门,以控制液体流过第二供应管路。
32、如权利要求31的方法,还包括:
打开或关闭第三阀门,以控制液体流过第一回流管路;以及
打开或关闭第四阀门,以控制液体流过第二回流管路。
33、如权利要求30的方法,还包括:
使液体从温度受控的第一液体源流到第一供应管路;
打开或关闭第一阀门,以控制液体流过第一供应管路;
使液体从温度受控的第二液体源流到第二供应管路;以及
打开或关闭第二阀门,以控制液体流过第二供应管路。
34、如权利要求33的方法,还包括使用控制器选择性地打开和关闭第一阀门和第二阀门。
35、如权利要求31的方法,还包括用控制器选择性地打开和关闭第一阀门和第二阀门。
36、如权利要求29的方法,其中:
所述支架表面是圆形的;
使第一液流通道平行于支架表面并按圆周方向延伸;以及
使第二液流通道平行于支架表面并按圆周方向延伸,该第二液流通道与第一液流通道是同心的;
其中,使液体在第一液流通道和第二液流通道中按相同的或相反的方向循环。
37、如权利要求29的方法,其中:
所述支架表面是圆形的;
使第一液流通道平行于支架表面并按圆周方向延伸;以及
使第二液流通道平行于支架表面并按圆周方向延伸,该第二液流通道与第一液流通道不是同心的;
其中,液体在第一液流通道和第二液流通道中按相同的或相反的方向循环。
38、如权利要求29的方法,其中,支架表面包括一个静电吸盘的暴露表面,并且基片由该静电吸盘静电地夹紧。
39、如权利要求29的方法,其中,支架主体包括在第一液流通道和第二液流通道之间的热中断,该热中断包括一个开放沟道,其大小适合控制通过支架主体的热传导。
40、如权利要求29的方法,还包括:
在延伸通过主体的第三部分的第三液流通道中循环液体,从而提供对支架表面的第三部分的温度控制;以及
供应液体到与第三液流通道流体连通的第三入口。
41、如权利要求29的方法,还包括供应传热气体到支架表面上的至少一个气体通道开口。
42、如权利要求30的方法,还包括:
从一个温度受控的液体源供应液体;
打开或关闭阀门,所述阀门包括第一阀门、第二阀门、第三阀门和第四阀门;以及
使液体流过与第一供应管路、第二供应管路、第一回流管路和第二回流管路流体连通的公用管路,
其中,该公用管路从温度受控的液体源供应液体到第一供应管路和第二供应管路,该公用管路从第一回流管路和第二回流管路接收液体,该第一阀门控制液体流过第一回流管路,该第二阀门控制液体流过第二回流管路,该第三阀门控制液体流过公用管路在第一供应管路和第一回流管路之间的部分,以及该第四阀门控制液体流过公用管路在第二供应管路和第二回流管路之间的部分。
43、如权利要求30的方法,还包括:
从一个温度受控的液体源供应液体;
打开或关闭阀门,所述阀门包括第一阀门、第二阀门、第三阀门、第四阀门、第五阀门和第六阀门;以及
使液体流过与第一供应管路、第二供应管路、第一回流管路和第二回流管路流体连通的第一连接管路和第二连接管路,
其中,第一供应管路和第二供应管路从该温度受控的液体源供应液体到第一液流通道和第二液流通道,该第一连接管路在第一供应管路和第二供应管路之间延伸,该第二连接管路在第一回流管路和第二回流管路之间延伸,该第一阀门控制液体流过第一供应管路,第二阀门控制液体流过第二供应管路,该第三阀门控制液体流过第一连接管路,该第四阀门控制液体流过第一回流管路,该第五阀门控制液体流过第二回流管路,以及该第六阀门控制液体流过第二连接管路。
CNB2004800113593A 2003-03-31 2004-03-30 具有温度受控的表面的基片支架 Expired - Fee Related CN100565787C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/401,861 2003-03-31
US10/401,861 US20040187787A1 (en) 2003-03-31 2003-03-31 Substrate support having temperature controlled substrate support surface

Publications (2)

Publication Number Publication Date
CN1781181A true CN1781181A (zh) 2006-05-31
CN100565787C CN100565787C (zh) 2009-12-02

Family

ID=32989543

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800113593A Expired - Fee Related CN100565787C (zh) 2003-03-31 2004-03-30 具有温度受控的表面的基片支架

Country Status (7)

Country Link
US (1) US20040187787A1 (zh)
EP (1) EP1611601A2 (zh)
JP (1) JP4745961B2 (zh)
KR (1) KR101052446B1 (zh)
CN (1) CN100565787C (zh)
TW (1) TWI333232B (zh)
WO (1) WO2004093167A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8115142B2 (en) 2007-07-11 2012-02-14 Semes Co, Ltd. Plate, apparatus for adjusting temperature of substrate having the plate and apparatus for processing substrate having the plate
CN102509714A (zh) * 2011-11-18 2012-06-20 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
CN102903624A (zh) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 温控半导体处理装置
CN102918641A (zh) * 2010-05-24 2013-02-06 朗姆研究公司 用于半导体衬底支撑件的温度控制的装置和方法
CN103074612A (zh) * 2012-12-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 加热装置及化学气相沉积设备
CN103974518A (zh) * 2013-02-01 2014-08-06 朗姆研究公司 等离子体处理腔室部件的温度受控窗
CN104282611A (zh) * 2013-07-09 2015-01-14 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其静电夹盘
CN105603376A (zh) * 2008-11-12 2016-05-25 朗姆研究公司 由液体控制的多区基片支座改进的基片温度控制
TWI628408B (zh) * 2014-06-27 2018-07-01 日商東京威力科創股份有限公司 包含可控制溫度之載台之系統、半導體製造裝置及載台之溫度控制方法
CN109196140A (zh) * 2016-05-05 2019-01-11 应用材料公司 双回路基座温度控制系统
CN110658683A (zh) * 2018-06-28 2020-01-07 上海微电子装备(集团)股份有限公司 晶片承载系统和浸没光刻设备
TWI682181B (zh) * 2017-01-16 2020-01-11 德商Ers電力公司 控制基板溫度裝置及對應製造方法

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100798813B1 (ko) * 2006-07-25 2008-01-28 삼성전자주식회사 정전척 어셈블리 및 정전척 온도 제어방법
JP4721230B2 (ja) * 2006-10-31 2011-07-13 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
KR100840468B1 (ko) * 2006-11-21 2008-06-20 동부일렉트로닉스 주식회사 이온주입기의 웨이퍼 냉각시스템
JP4969259B2 (ja) * 2007-01-31 2012-07-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5417338B2 (ja) * 2007-10-31 2014-02-12 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
SG187387A1 (en) 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
JP5265700B2 (ja) 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US8329260B2 (en) * 2008-03-11 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
JP5210706B2 (ja) * 2008-05-09 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8596336B2 (en) 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
JP5705133B2 (ja) * 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
NL2006913A (en) * 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101240538B1 (ko) * 2011-08-17 2013-03-11 주성엔지니어링(주) 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법
SG188036A1 (en) 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
JP5863582B2 (ja) * 2012-07-02 2016-02-16 東京エレクトロン株式会社 プラズマ処理装置、及び温度制御方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
JP6175437B2 (ja) * 2012-07-27 2017-08-02 京セラ株式会社 流路部材およびこれを用いた熱交換器ならびに半導体製造装置
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US10557190B2 (en) 2013-01-24 2020-02-11 Tokyo Electron Limited Substrate processing apparatus and susceptor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN103757608B (zh) * 2014-01-22 2016-05-11 清华大学 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103726034B (zh) * 2014-01-22 2017-01-25 清华大学 用于工艺腔室的基台及其控制方法、托盘及其设计方法
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US10079165B2 (en) * 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
KR101754564B1 (ko) 2015-11-12 2017-07-07 세메스 주식회사 기판 처리 장치 및 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US11837479B2 (en) 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP2018125461A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
DE102017105947A1 (de) * 2017-03-20 2018-09-20 Aixtron Se Suszeptor für einen CVD-Reaktor
JP7227154B2 (ja) * 2017-03-31 2023-02-21 ラム リサーチ コーポレーション 柔軟なウエハ温度制御を伴う静電チャック
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7133992B2 (ja) * 2018-06-07 2022-09-09 東京エレクトロン株式会社 基板載置台及び基板処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7129877B2 (ja) * 2018-10-15 2022-09-02 東京エレクトロン株式会社 温度制御システム及び温度制御方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7199200B2 (ja) * 2018-11-01 2023-01-05 東京エレクトロン株式会社 基板載置台、基板処理装置及び基板処理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102460313B1 (ko) * 2018-12-13 2022-10-28 주식회사 원익아이피에스 기판 처리 장치의 서셉터 및 기판 처리 장치
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
JP7187303B2 (ja) * 2018-12-26 2022-12-12 東京エレクトロン株式会社 温度制御装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20230079804A1 (en) * 2020-01-29 2023-03-16 Lam Research Corporation Wafer chuck with thermal tuning cavity features
KR20210097535A (ko) 2020-01-30 2021-08-09 삼성전자주식회사 웨이퍼 척
US11594401B2 (en) 2020-02-25 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor wafer with wafer chuck having fluid guiding structure
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
JP2022047847A (ja) * 2020-09-14 2022-03-25 株式会社Kelk ウェーハの温度調節装置
JP2021093543A (ja) * 2021-02-26 2021-06-17 東京エレクトロン株式会社 被加工物の処理装置
US20240130082A1 (en) * 2022-10-12 2024-04-18 Applied Materials, Inc. Methods and apparatus for cooling a substrate support

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361749A (en) * 1980-02-04 1982-11-30 Western Electric Co., Inc. Uniformly cooled plasma etching electrode
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS59136930A (ja) * 1983-01-27 1984-08-06 Toshiba Corp 反応性イオンエツチング装置
JPS59175727A (ja) * 1983-03-26 1984-10-04 Toshiba Corp プラズマエツチング装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS60206050A (ja) * 1984-03-30 1985-10-17 Hitachi Ltd 内部冷却水路を有する部品
FR2606750B2 (fr) * 1986-07-07 1989-03-03 Oreal Recipient comprenant un col et une capsule manoeuvrable avec une seule main
JP2748127B2 (ja) * 1988-09-02 1998-05-06 キヤノン株式会社 ウエハ保持方法
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JP2574899B2 (ja) * 1989-08-30 1997-01-22 株式会社日立製作所 プラズマエッチング装置
JPH03190125A (ja) * 1989-12-19 1991-08-20 Fujitsu Ltd ドライエッチング装置
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JP2751588B2 (ja) * 1990-07-10 1998-05-18 忠弘 大見 液冷式冷却装置
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
EP0493089B1 (en) * 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5186238A (en) * 1991-04-25 1993-02-16 International Business Machines Corporation Liquid film interface cooling chuck for semiconductor wafer processing
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5226471A (en) * 1991-09-23 1993-07-13 General Electric Company Leak isolating apparatus for liquid cooled electronic units in a coolant circulation system
KR0164618B1 (ko) * 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
JPH05243191A (ja) * 1992-02-26 1993-09-21 Nec Corp ドライエッチング装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW262566B (zh) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP3297771B2 (ja) * 1993-11-05 2002-07-02 ソニー株式会社 半導体製造装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP2647799B2 (ja) * 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3600271B2 (ja) * 1994-05-25 2004-12-15 東京エレクトロン株式会社 処理装置
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JPH0936097A (ja) * 1995-07-18 1997-02-07 Sony Corp 温度調整装置
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
US6231776B1 (en) * 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6077357A (en) * 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6138745A (en) * 1997-09-26 2000-10-31 Cvc Products, Inc. Two-stage sealing system for thermally conductive chuck
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
JP2000216140A (ja) * 1999-01-20 2000-08-04 Hitachi Ltd ウエハステ―ジおよびウエハ処理装置
JP2000249440A (ja) * 1999-02-25 2000-09-14 Dainippon Screen Mfg Co Ltd 基板処理装置
US6179921B1 (en) * 1999-04-19 2001-01-30 Applied Materials, Inc. Backside gas delivery system for a semiconductor wafer processing system
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
ATE491825T1 (de) * 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
US6502590B1 (en) * 2000-08-31 2003-01-07 Koninklijke Philips Electronics N.V. Method and apparatus for flushing x-ray tube heat exchanger
JP2003243490A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6863736B2 (en) * 2002-05-29 2005-03-08 Ibis Technology Corporation Shaft cooling mechanisms

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8115142B2 (en) 2007-07-11 2012-02-14 Semes Co, Ltd. Plate, apparatus for adjusting temperature of substrate having the plate and apparatus for processing substrate having the plate
CN105603376A (zh) * 2008-11-12 2016-05-25 朗姆研究公司 由液体控制的多区基片支座改进的基片温度控制
CN102918641B (zh) * 2010-05-24 2015-06-10 朗姆研究公司 用于半导体衬底支撑件的温度控制的装置和方法
CN102918641A (zh) * 2010-05-24 2013-02-06 朗姆研究公司 用于半导体衬底支撑件的温度控制的装置和方法
CN102903624A (zh) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 温控半导体处理装置
CN102903624B (zh) * 2011-07-29 2015-12-16 无锡华瑛微电子技术有限公司 温控半导体处理装置
CN102509714A (zh) * 2011-11-18 2012-06-20 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
CN102509714B (zh) * 2011-11-18 2014-08-27 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
CN103074612A (zh) * 2012-12-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 加热装置及化学气相沉积设备
CN103974518A (zh) * 2013-02-01 2014-08-06 朗姆研究公司 等离子体处理腔室部件的温度受控窗
CN103974518B (zh) * 2013-02-01 2018-10-02 朗姆研究公司 等离子体处理腔室部件的温度受控窗
CN104282611A (zh) * 2013-07-09 2015-01-14 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其静电夹盘
TWI628408B (zh) * 2014-06-27 2018-07-01 日商東京威力科創股份有限公司 包含可控制溫度之載台之系統、半導體製造裝置及載台之溫度控制方法
CN109196140A (zh) * 2016-05-05 2019-01-11 应用材料公司 双回路基座温度控制系统
CN109196140B (zh) * 2016-05-05 2019-10-25 应用材料公司 双回路基座温度控制系统
TWI682181B (zh) * 2017-01-16 2020-01-11 德商Ers電力公司 控制基板溫度裝置及對應製造方法
CN110658683A (zh) * 2018-06-28 2020-01-07 上海微电子装备(集团)股份有限公司 晶片承载系统和浸没光刻设备

Also Published As

Publication number Publication date
TWI333232B (en) 2010-11-11
EP1611601A2 (en) 2006-01-04
KR101052446B1 (ko) 2011-07-28
JP2006522452A (ja) 2006-09-28
KR20050118716A (ko) 2005-12-19
CN100565787C (zh) 2009-12-02
WO2004093167A2 (en) 2004-10-28
WO2004093167A3 (en) 2005-06-09
US20040187787A1 (en) 2004-09-30
JP4745961B2 (ja) 2011-08-10
TW200509182A (en) 2005-03-01

Similar Documents

Publication Publication Date Title
CN1781181A (zh) 具有温度受控的表面的基片支架
JP6574020B2 (ja) コンダクタンス制御を有する化学蒸着装置
KR102392006B1 (ko) 독립적인 격리된 가열기 구역들을 갖는 웨이퍼 캐리어
CN101842877B (zh) 用于半导体处理室的温度控制模块及控制元件温度的方法
US9681497B2 (en) Multi zone heating and cooling ESC for plasma process chamber
CN1238881C (zh) 用于处理半导体晶片的气体分布系统和方法
CN101358338B (zh) 轴对称和均匀热分布的真空吸附加热器
CN1251294C (zh) 等离子体加工装置的温度控制系统
CN101031181A (zh) 现场基板处理的方法和装置
US20040212947A1 (en) Substrate support having heat transfer system
US20090095731A1 (en) Mounting table structure and heat treatment apparatus
CN1779938A (zh) 控制衬底温度的方法和装置
CN101038863A (zh) 被处理件的处理方法及处理装置
KR20130031237A (ko) 공유되는 리소스들을 갖는 프로세스 챔버들 및 이들의 사용 방법들
TWI647785B (zh) 恒定質量流多層次冷卻劑路徑之靜電式夾具
CN102468205A (zh) 托盘及具有它的晶片处理设备
CN1511244A (zh) 热介质循环装置及使用其的热处理装置
WO2016153583A1 (en) Gas flow for condensation reduction with a substrate processing chuck
CN100437966C (zh) 可分区控温的静电卡盘系统
US20060023395A1 (en) Systems and methods for temperature control of semiconductor wafers
CN110416121A (zh) 用于处理基板的装置
CN101345204B (zh) 被处理体的保持装置及其温度控制方法
KR20210145314A (ko) 웨이퍼에 공간적으로 튜닝 가능한 rf 커플링을 하는 정전 척
CN102760680A (zh) 用于半导体基片处理设备的卡盘组件
US20230060901A1 (en) Supporting unit and apparatus for treating substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091202

CF01 Termination of patent right due to non-payment of annual fee