JP6574020B2 - コンダクタンス制御を有する化学蒸着装置 - Google Patents

コンダクタンス制御を有する化学蒸着装置 Download PDF

Info

Publication number
JP6574020B2
JP6574020B2 JP2018088156A JP2018088156A JP6574020B2 JP 6574020 B2 JP6574020 B2 JP 6574020B2 JP 2018088156 A JP2018088156 A JP 2018088156A JP 2018088156 A JP2018088156 A JP 2018088156A JP 6574020 B2 JP6574020 B2 JP 6574020B2
Authority
JP
Japan
Prior art keywords
cavity
exhaust
reactor
valve
outlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018088156A
Other languages
English (en)
Other versions
JP2018152577A (ja
Inventor
ラメッシュ・チャンドラセカーラン
カール・リーサー
チュングアーン・シア
ジェレミー・タッカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018152577A publication Critical patent/JP2018152577A/ja
Application granted granted Critical
Publication of JP6574020B2 publication Critical patent/JP6574020B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、化学蒸着およびプラズマ強化化学蒸着を行うための装置および処理に関する。
エッチング、物理蒸着(PVD)、化学蒸着(CVD)、プラズマ強化化学蒸着(PECVD)、原子層蒸着(ALD)、プラズマ強化原子層蒸着(PEALD)、パルス蒸着層(PDL)、プラズマ強化パルス蒸着層(PEPDL)処理、および、レジスト除去などの技術によって半導体基板を処理するために、プラズマ処理装置を用いることができる。例えば、プラズマ処理に用いられるプラズマ処理装置の1つのタイプは、上側および下側電極を収容する反応チャンバまたは蒸着チャンバを備える。プロセスガスすなわちリアクタ化学物質をプラズマ状態に励起して、反応チャンバ内で半導体基板を処理するために、高周波(RF)電力が電極間に印加される。
化学蒸着装置が開示されており、その装置は:化学的隔離チャンバと;化学的隔離チャンバ内に形成された蒸着チャンバと;フェースプレートおよびバッキングプレートを有すると共に、リアクタ化学物質を空洞に供給する複数の流入口と、リアクタ化学物質を除去する排気流出口とを備えたシャワーヘッドモジュールと;排気流出口を介して空洞に流体連通する少なくとも1つのコンダクタンス制御アセンブリとを備え、少なくとも1つのコンダクタンス制御アセンブリには1または複数の排気真空ラインを介して排気装置が流体連通され、少なくとも1つのコンダクタンス制御アセンブリは:(a)ボールバルブアセンブリであって、円錐形の下側部分を有するハウジングと、ハウジングの円錐形の下側部分から空洞の排気流出口の内の1または複数まで伸び、流入口および流出口を有する導管と、円錐形の下側部分内に収まるよう構成された球体とを備え、球体は、供給工程中には、導管の流出口を遮断して、導管を通してリアクタ化学物質が流れるのを防ぎ、パージ工程中には、空洞内で第1の圧力および流量を超えると、上昇して、球体の下面と、導管の流出口との間に開口部を提供することにより、リアクタ化学物質およびパージガスが空洞から1または複数の排気真空ラインに流れることを可能にするよう構成されているボールバルブアセンブリ;(b)調整ガス部分およびチャンバ流出部分を有する流体バルブであって、調整ガス部分は、調整ガス供給部からの調整ガスの流れを空洞からのリアクタ化学物質の流れに向けるよう構成され、調整ガスの流れは、空洞からのリアクタ化学物質の流れによって経験される流れ抵抗を調整する流体バルブ;(c)回転バルブであって、上側回転プレートと、上側回転プレートに磁気的に結合された下側回転プレートとを備え、下側回転プレートは、複数の導管を有し、複数の導管の各々は、空洞からのリアクタ化学物質を、排気流出口の内の1または複数と流体連通するシャワーヘッドモジュール内の対応する排気導管から受け入れるよう構成されている回転バルブ;および/または、(d)磁気的に結合されたリニアバルブであって、磁気ハウジングと、複数の流路内で磁気的に上下されるよう構成された複数のリニアロッドであって、複数のリニアロッドの各々は、磁気ハウジング内で複数のリニアロッドを上下させる磁気ハウジングと磁気的に結合されるよう構成された近位部分と、リアクタ化学物質および/またはパージガスを排気流出口から放出するためのバルブとして機能する遠位端とを有するリニアロッドとを備えるリニアバルブの内の1または複数から選択される。
化学蒸着装置の空洞内のコンダクタンスを制御する方法が開示されており、その方法は:化学蒸着装置の空洞内で基板を処理する工程であって、空洞は、シャワーヘッドモジュールと、基板を受けるよう構成された基板台座モジュールとの間に形成され、シャワーヘッドモジュールは、リアクタ化学物質を空洞に供給する複数の流入口と、リアクタ化学物質およびパージガスを空洞から除去する排気流出口とを備える工程と;排気流出口を介して空洞に流体連通する少なくとも1つのコンダクタンス制御アセンブリで、空洞のコンダクタンスの変化を制御する工程とを備え、少なくとも1つのコンダクタンス制御アセンブリは:(a)ボールバルブアセンブリであって、円錐形の下側部分を有するハウジングと、ハウジングの円錐形の下側部分から空洞の排気流出口の内の1または複数まで伸び、流入口および流出口を有する導管と、円錐形の下側部分内に収まるよう構成された球体とを備え、球体は、供給工程中には、導管の流出口を遮断して、導管を通してリアクタ化学物質が流れるのを防ぎ、パージ工程中には、空洞内で第1の圧力および流量を超えると、上昇して、球体の下面と、導管の流出口との間に開口部を提供することにより、リアクタ化学物質およびパージガスが空洞から1または複数の排気真空ラインに流れることを可能にするよう構成されているボールバルブアセンブリ;(b)調整ガス部分およびチャンバ流出部分を有する流体バルブであって、調整ガス部分は、調整ガス供給部からの調整ガスの流れを空洞からのリアクタ化学物質の流れに向けるよう構成され、調整ガスの流れは、空洞からのリアクタ化学物質の流れによって経験される流れ抵抗を調整する流体バルブ;(c)回転バルブであって、上側回転プレートと、上側回転プレートに磁気的に結合された下側回転プレートとを備え、下側回転プレートは、複数の導管を有し、複数の導管の各々は、空洞からのリアクタ化学物質を、排気流出口の内の1または複数と流体連通するシャワーヘッドモジュール内の対応する排気導管から受け入れるよう構成されている回転バルブ;および/または、(d)磁気的に結合されたリニアバルブであって、磁気ハウジングと、複数の流路内で磁気的に上下されるよう構成された複数のリニアロッドであって、複数のリニアロッドの各々は、磁気ハウジング内で複数のリニアロッドを上下させる磁気ハウジングと磁気的に結合されるよう構成された近位部分と、リアクタ化学物質および/またはパージガスを排気流出口から放出するためのバルブとして機能する遠位端とを有するリニアロッドとを備えるリニアバルブの内の1または複数から選択される。
代表的な実施形態に従って、台座を備えた化学蒸着装置を示す概略図。
代表的な実施形態に従って、台座を備えない化学蒸着装置を示す概略図。
代表的な実施形態に従って、複数のコンダクタンス制御アセンブリを備えた装置を示す概略図。
代表的な実施形態に従って、ボールバルブアセンブリを示す部分図。
代表的な実施形態に従って、流体バルブを示す概略断面図。
代表的な実施形態に従って、流体バルブを示す図。
代表的な実施形態に従って、磁気継手を備えた回転ベアリングを有する化学蒸着装置の空洞を示す断面図。
代表的な実施形態に従って、図6の空洞の一部を示す断面図。
代表的な実施形態に従って、開位置のリニア磁気結合バルブを有する化学蒸着装置の空洞を示す断面図。
代表的な実施形態に従って、閉位置のリニア磁気結合バルブを有する化学蒸着装置の空洞を示す断面図。
以下の詳細な開示では、本明細書に開示された装置および方法の理解を与えるために、代表的な実施形態について説明する。ただし、当業者にとって明らかなように、代表的な実施形態は、これらの具体的な詳細事項なしに実施されてもよいし、別の要素または処理を用いて実施されてもよい。また、本明細書に開示した実施形態の態様を不必要に不明瞭にしないように、周知の処理、手順、および/または、構成要素については、詳細に説明していない。
代表的な実施形態によると、本明細書に開示された装置および関連方法は、プラズマ強化化学蒸着などの化学蒸着を行うために利用できる。装置および方法は、複数工程の蒸着処理(例えば、原子層蒸着(ALD)、プラズマ強化原子層蒸着(PEALD)、パルス蒸着層(PDL)、または、プラズマ強化パルス蒸着層(PEPDL)処理)における自己制限的な蒸着工程の分離を必要とする半導体加工ベースの誘電体蒸着処理と共に用いることができるが、それらに限定されない。
上述のように、本実施形態は、プラズマ強化化学蒸着などの化学蒸着を行うための装置および関連方法を提供する。装置および方法は、複数工程の蒸着処理(例えば、原子層蒸着(ALD)、プラズマ強化原子層蒸着(PEALD)、プラズマ強化化学蒸着(PECVD)、パルス蒸着層(PDL)、プラズマ強化パルス蒸着層(PEPDL)処理)における自己制限的な蒸着工程の分離を必要とする半導体加工ベースの誘電体蒸着処理との併用に特に適用可能であるが、これらに限定されない。
上述の処理は、蒸着材料を受け入れるウエハまたは基板にわたる不均一な温度に関連したいくつかの欠点を持ちうる。例えば、不均一な温度は、周囲のチャンバ構成要素と熱接触する受動的に加熱されたシャワーヘッドが周囲の構成要素に熱を奪われる時に、基板にわたって生じうる。したがって、処理領域の上壁を形成するシャワーヘッドは、等温の処理領域を形成することによって基板全体の均一な温度を実現できるように、周囲の構成要素から熱的に隔離されていることが好ましい。基板全体の均一な温度は、基板の均一な処理の助けになり、基板温度は、蒸着処理のための活性化エネルギを提供するため、蒸着反応を駆動する制御手段である。
さらに、一般には、2つの主要なタイプの蒸着シャワーヘッド、すなわち、シャンデリアタイプおよび埋め込みタイプがある。シャンデリア型シャワーヘッドは、チャンバの上部に取り付けられたステムを一端に有し、フェースプレートを他端に有しており、シャンデリアのように見える。ステムの一部が、ガスラインおよびRF電力の接続を可能にするために、チャンバ上部から突出していてよい。埋め込み型シャワーヘッドは、チャンバ上部に一体化されており、ステムを持たない。本実施形態は、埋め込み型シャワーヘッドに関しうる。埋め込み型シャワーヘッドは、処理中に真空源によって排気される必要のあるチャンバ空間を減少させる。
図1Aおよび図1Bは、本明細書に開示された実施形態に従って、化学蒸着装置100を示す概略図である。図1Aおよび図1Bに示すように、化学装置は、化学的隔離チャンバまたはハウジング110、蒸着チャンバ120、シャワーヘッドモジュール130、および、移動台座モジュール140を備えており、台座モジュール140は、台座モジュール140の上面の基板(またはウエハ)190の位置を上下させるためにシャワーヘッドモジュール130に対して上下されうる。シャワーヘッドモジュール130も、垂直に上下されうる。反応物質ガス(図示せず)は、ガスライン112を介してサブチャンバ120に導入される。ガスライン112の各々は、対応するアキュムレータを有してよく、アキュムレータは、隔離バルブを用いて装置100から隔離されうる。代表的な実施形態によると、装置100は、利用される反応ガスの数に応じて、隔離バルブおよびアキュムレータを備えた1または複数のガスライン112を有するよう変形されうる。また、反応ガス供給ライン112は、複数の化学蒸着装置の間またはマルチステーションシステムの間で共有できる。
代表的な実施形態によると、チャンバ120は、真空源(図示せず)に接続された1または複数の真空ライン160を通して排気されうる。例えば、真空源は真空ポンプ(図示せず)でありうる。マルチステーションリアクタ(例えば、同じ蒸着処理を実行する複数のステーションすなわち装置100を有するリアクタ)において、別のステーションからの真空ライン160が、真空ライン160と共通のフォアライン(例えば、真空ポンプ間の真空ライン)を共有してよい。さらに、装置100は、ステーションすなわち装置100ごとに1または複数の真空ライン160を有するよう変形されてもよい。
代表的な実施形態によると、複数の排気導管170が、シャワーヘッドモジュール130のフェースプレート136内の1または複数の排気流出口174と流体連通するよう構成されうる。排気流出口174は、蒸着処理の合間に空洞150からプロセスガスすなわちリアクタ化学物質を除去するよう構成されうる。複数の排気導管170は、1または複数の真空ライン160とも流体連通している。排気導管170は、基板190の周りで円周方向に離間されてよく、均等に離間されてよい。いくつかの例において、複数の導管170の間隔は、真空ライン160の位置を補うように設計されてよい。一般に、複数の導管170よりも真空ライン160の方が少ないので、真空ライン160に最も近い導管170を通る流量が、より離れた導管よりも高くなりうる。円滑な流れのパターンを確保するために、真空ライン160から離れるほど、導管170の間隔を近くしてよい。可変のフローコンダクタを備える複数の導管170を備えた化学蒸着装置100の代表的な実施形態については、同一出願人による米国特許第7,993,457号に見いだすことができ、その特許は、参照によって全体が本明細書に組み込まれる。
本明細書に開示された実施形態は、プラズマ強化化学蒸着装置(すなわち、PECVD装置、PEALD装置、または、PEPDL装置)で実施されることが好ましい。かかる装置は、様々な形態を取ってよく、装置は、1または複数の基板を収容すると共に基板処理に適した1または複数のチャンバすなわち「リアクタ」(時に、上述したように複数のステーションまたは蒸着チャンバを含む)を備えてよい。各チャンバは、処理のために1または複数の基板を収容しうる。1または複数のチャンバは、1または複数の所定の位置に基板を維持する(例えば、回転、振動、または、その他の運動など、その位置内での運動があってもなくてもよい)。一実施形態では、蒸着および処理を受けている基板190が、処理中に装置内部の1つのステーション(例えば、蒸着チャンバ)から別のステーションに搬送されうる。処理中に、各基板190は、台座モジュール140、ウエハチャック、および/または、その他のウエハ保持装置によって所定の位置に保持される。例えば、基板190が加熱される動作のために、装置100は、加熱プレートなどのヒータを備えてよい。
基板またはウエハを受ける、および/または、台座モジュール140の上面から基板を降ろすために、台座モジュール140は下げられる。下側位置において、基板が、台座モジュール140の表面上に配置され、その後、台座モジュール140は、シャワーヘッドモジュール130に向かって垂直上方に持ち上げられる。代表的な実施形態によると、台座モジュール140の上面142とシャワーヘッドモジュール130の下面132との間の距離は、空洞150を形成しており、約0.2インチ(5ミリメートル)から約0.6インチ(15.25ミリメートル)であってよい。
代表的な実施形態によると、シャワーヘッドモジュール130は、空洞(すなわち、リアクタチャンバ)150にリアクタ化学物質を供給するよう構成されている。シャワーヘッドモジュール130は、複数の流入口すなわち貫通孔138を有するフェースプレート136と、バッキングプレート139とを備えうる。代表的な実施形態によると、フェースプレート136は、複数の流入口すなわち貫通孔138と、フェースプレート136の外周137の周りに伸びる段135とを有する単一のプレートであってよい。あるいは、段135は、別個のリング133であってもよく、フェースプレート136の外側部分131の下面に固定される。例えば、段135は、ねじ143でフェースプレート136の外側部分131に固定できる。同心の排気流出口174を有するフェースプレート136を備えたプロセスガス分散用のシャワーヘッドモジュール130の代表的な実施形態については、同一出願人による米国特許第5,614,026号に見いだすことができ、その特許は、参照によって全体が本明細書に組み込まれる。例えば、代表的な実施形態によると、排気流出口174は、複数の流入口138を取り囲む。
代表的な実施形態において、チャンバ120内の温度は、シャワーヘッドモジュール130および/または台座モジュール140内の加熱メカニズムによって維持されうる。例えば、基板190は、等温環境内に配置されることが可能であり、シャワーヘッドモジュール130および台座モジュール140は、基板190を所望の温度に維持するよう構成されている。例えば、代表的な実施形態において、シャワーヘッドモジュール130は、250℃より高い温度に加熱されうる、および/または、台座モジュール140は、250℃より高い温度に加熱されうる。蒸着チャンバ120は、台座モジュール140と連動するシャワーヘッドモジュール130を備えた容量結合プラズマ型のシステムによって生成されたプラズマを収容するよう機能する。
整合回路網(図示せず)に接続された高周波(HF)RF発生器、および、低周波(LF)RF発生器など、1または複数のRF源が、シャワーヘッドモジュール130に接続されている。整合回路網によって供給された電力および周波数は、プロセスガス/蒸気からプラズマを生成するのに十分である。好ましい実施形態では、HF発生器およびLF発生器の両方が用いられる。典型的な処理において、HF発生器は、一般に約2〜100MHzの周波数で動作され、好ましい実施形態では13.56MHzで動作される。LF発生器は、一般に約50kHzから2MHzで動作され、好ましい実施形態では350〜600kHzで動作される。プロセスパラメータは、チャンバ体積、基板サイズ、および、その他の要素に基づいて増減されてよい。例えば、LF発生器およびHF発生器の電力出力は、通例、基板の蒸着表面積に正比例する。例えば、300mmのウエハに用いられる電力は、一般に、200mmのウエハに用いられる電力よりも少なくとも2.25高い。同様に、流量(標準蒸気圧など)は、真空チャンバまたは蒸着チャンバ120のフリー容量に依存する。
蒸着チャンバ120内で、台座モジュール140は、材料を蒸着できる基板190を支持する。台座モジュール140は、通例、蒸着および/またはプラズマ処理反応の間および合間に基板を保持および搬送するために、チャック、フォーク、または、リフトピンを備える。台座モジュール140は、制電チャック、機械式チャック、または、工業および/または研究に利用できる様々な他のタイプのチャックを備えてよい。台座モジュール140は、所望の温度に基板190を加熱するためのヒータブロックと結合されてよい。一般に、基板190は、蒸着される材料に応じて、約25℃から500℃の温度に維持される。
代表的な実施形態によると、蒸着チャンバ120は、1または複数のコンダクタンス制御アセンブリ200を備えており、アセンブリは、プロセス材料ガスすなわちリアクタ化学物質の流入(例えば、供給工程)中、ウエハまたは基板190上に膜を形成させる反応またはプラズマ工程(例えば、反応工程)中、ならびに、プロセス材料ガスすなわちリアクタ化学物質の排気またはパージ(例えば、パージ工程)中に、蒸着チャンバ120内のチャンバ圧力を制御および調節するよう構成されている。代表的な実施形態によると、チャンバ120の排気またはパージには、不活性ガスすなわちパージガスを用いる。例えば、図2〜図9に示すように、コンダクタンス制御アセンブリ200は、本明細書に開示の通り、1または複数のボールバルブアセンブリ300(図2および図3)、1または複数の流体バルブ400(図4および図5)、ならびに/もしくは、1または複数の回転バルブ、方位角(azimuthal)バルブ、および/または、リニアバルブ500、600(図6〜図9)を備えてよい。代表的な実施形態によると、複数の排気導管170は、基板190に対して軸方向および/または上方に配置されてよく、コンダクタンス制御アセンブリ200と流体連通する1または複数の導管および/または流路を含みうる。代表的な実施形態によると、複数の排気導管170は、台座モジュール140上の基板190の縁部の周囲および/または下方の導管または通路を介して真空ライン160と接続されている。代表的な実施形態によると、例えば、1または複数のコンダクタンスアセンブリ200は、最小コンダクタンスから最大コンダクタンスまで3桁の範囲を有しうる。
図2は、代表的な実施形態に従って、複数のコンダクタンス制御アセンブリ200を有する化学蒸着装置100を示す概略図である。図2に示すように、化学蒸着装置100は、化学的隔離チャンバまたはハウジング110、蒸着チャンバ120、シャワーヘッドモジュール130、台座モジュール140の上面の基板またはウエハ(図示せず)の位置を上下させるためにシャワーヘッドモジュール130に対して上下されうる移動台座モジュール140、ならびに、複数のコンダクタンス制御アセンブリ200を備える。複数のコンダクタンス制御アセンブリ200は、シャワーヘッドモジュール130の外縁の周りに対称的に配置されてよく、蒸着チャンバ120、1または複数の真空ライン160と流体連通されている。代表的な実施形態によると、複数のコンダクタンス制御アセンブリ200は、蒸着中にはプロセス材料ガスのコンダクタンスが高く、リアクタ化学物質の排気またはパージ中にはコンダクタンスが高い化学蒸着装置100を提供するよう構成できる。
代表的な実施形態によると、シャワーヘッドモジュール130は、複数の貫通孔すなわち流入口138および外側の列の同心の排気流出口174を有するフェースプレート136と、バッキングプレート139と、トッププレート145とを備えてよい。同心の排気流出口174を有するフェースプレート136を備えたプロセスガス分散用のシャワーヘッド130の代表的な実施形態については、同一出願人による米国特許第5,614,026号に見いだすことができ、その特許は、参照によって全体が本明細書に組み込まれる。代表的な実施形態によると、排気通路440(図5)が、排気流出口174を、バッキングプレート139の排気導管426に接続する、および/または、バッキングプレート139の上方のトッププレート145の排気導管426に接続する(図示せず)。代表的な実施形態によると、各排気ガス通路440は、コンダクタンス制御アセンブリ200を備えうる(通路当たり1つのボール、通路当たり1つのパージガス噴射口、ガス通路に沿って1つの可変領域)。
例えば、モジュールが2つの真空接続160および2つの排気導管170を有する場合、各排気導管170と流体連通するフェースプレート136の排気流出口174は複数存在しうる。例えば、複数のコンダクタンス制御アセンブリ200の数は、装置100については、2から10の間、より好ましくは4から8の間、最も好ましくは6であってよく、複数のコンダクタンス制御アセンブリ200の各々は、フェースプレート136内の2以上の排気流出口174(例えば、複数のコンダクタンス制御アセンブリ200の各々に対して2から20の排気流出口174)と流体連通される。別の実施形態において、複数のコンダクタンス制御アセンブリ200の各々は、シャワーヘッドモジュール130のフェースプレート136内の単一の排気流出口174と流体連通されてもよく、例えば、シャワーヘッドモジュールは、10から120のコンダクタンス制御アセンブリ200、より好ましくは20から80のコンダクタンス制御アセンブリ200を備えてよい。
図3は、代表的な実施形態に従って、ボールバルブアセンブリ300の形態のコンダクタンス制御アセンブリを示す部分図である。図3に示すように、ボールバルブアセンブリ300は、空洞150と流体連通する導管320を有するハウジング310と、下側部分に円錐部分332および上側部分に円筒ハウジング334を有するハウジング330とを備える。円錐部分332は、導管320と流体連通する流出口すなわち開口部340を下側部分に有する。代表的な実施形態によると、ハウジング330の上側部分すなわち流出口360が、1または複数の真空ライン160と流体連通する。
代表的な実施形態によると、円筒ボールまたは球体350が、円筒ハウジング330内に配置され、ハウジング330の円錐部分332内で静止するよう構成されている。ボールまたは球体350の各々は、重さおよび/またはサイズに基づいて、空洞150内の第1の圧力および流量以下で、流出口すなわち開口部340を遮断するよう構成されており、第1の圧力および流量を超えると、ボールまたは球体350の内の1または複数が、浮かびすなわち持ち上がり始めて、ボールまたは球体350の下面と流出口すなわち開口部340との間に開口部を提供し、リアクタ化学物質および/またはパージガスが、空洞150から1または複数の真空ライン160へ流入することを可能にする。例えば、供給工程または処理の間、空洞150内のリアクタ化学物質および/またはパージガスの圧力および流量は、球体350が移動せず(すなわち、持ち上がらず)、リアクタ化学物質を空洞150内に閉じ込めることを可能にするのに十分に低い値でありうる。しかしながら、パージ工程中、空洞150内の圧力は、空洞150へのパージガスの流量と共に、第1の圧力および流量を超えうるため、球体が移動する。
代表的な実施形態によると、所与の化学蒸着装置100のためのボールバルブアセンブリ300の数は、排気導管170の数に基づいて2から10の間であってよく、排気導管170は、基板190の周りに離間されてよく、均等に離間されてよい。代表的な実施形態によると、ボールバルブアセンブリ300の各々は、パージ処理中に空洞150からリアクタ化学物質を排出するよう構成された1または複数の排気導管(図示せず)と流体連通するよう構成されてよい。
球体またはボール350の重さは、パージガス流量でバルブ作動を模倣するよう設計されてよい。代表的な実施形態によると、複数の円筒ボールまたは球体350の各々は、酸化アルミニウム(Al)などの耐腐食性材料で製造される(例えば、サファイア球体またはボール)。代表的な実施形態によると、ボールバルブアセンブリ300の各々は、空洞150のパージ中にだけ持ち上がるよう構成されている。代表的な実施形態によると、化学反応ガスの蒸着中に、球体またはボール350は、ハウジング330の円錐部分332内に留まり、球体またはボール350の重さおよび/またはサイズに基づいて、流出口すなわち開口部340を遮断し、真空システムのフォアラインへの反応ガスの放出または流出を防ぐ。代表的な実施形態によると、ボールバルブアセンブリ300の導管320の流入口322が、できる限り空洞150の近くに配置される。
代表的な実施形態によると、ボールバルブアセンブリ300の数は、装置100について、2から10の間、より好ましくは4から8の間、最も好ましくは6であってよい。複数のボールバルブアセンブリ300の各々は、2以上の排気流出口174(例えば、2から20の排気流出口174)と流体連通される。代表的な実施形態において、複数のボールバルブアセンブリ300の各々は、シャワーヘッドモジュール130のフェースプレート136内の単一の排気流出口174と流体連通されてよく、10から120のボールバルブアセンブリ300、より好ましくは20から80のボールバルブアセンブリ300であってよい。
代表的な実施形態によると、複数のコンダクタンス制御アセンブリ300は、空洞150内が所定の圧力に到達すると開く重力式の機械逆止バルブ(例えば、バネ付勢ボールバルブ)であってよい。
図4は、流体バルブ400(図5)の形態のコンダクタンス制御アセンブリを有する化学蒸着装置100を示す概略断面図である。流体バルブ400は、一連の分散された流出口からの調整ガス流を利用し、流出口は、調整流が、空洞150を出る流れと相互作用して局所的な圧力降下を生み出す噴流または流れを作るようなサイズおよび配置を有する。例えば、所与の調整流について、特定の時点にチャンバ流量が増えると、調整流の噴流が分散されて、遷移点が起こり、その後、調整流の存在は空洞150の出口での圧力降下全体に寄与しなくなる。したがって、遷移点より下では、流体バルブ400は、流量制限装置として利用可能であり、空洞150内の圧力の迅速な上昇を可能にする。さらに、空洞150は、調整流量を変化させることなしに効率的にパージされることが可能であり、それにより、次の工程でパージ能力を犠牲にすることなしに処理または供給工程中に圧力をより迅速に上昇させることが可能になる。
代表的な実施形態によると、流体バルブ400は、バッキングプレート139とトッププレート145との間で排気導管180内に伸びるパージガス導管およびチューブと共に、トッププレート145内に配置されてよく、調整ガスの噴流は、バッキングプレート139の排気通路から出るガス流と反対向きである。代表的な実施形態によると、排ガスの形態のリアクタ化学物質192は、フェースプレート136の排気流出口174から、フェースプレート136とバッキングプレート139との間のプレナム450を通り、その後、バッキングプレート139の排気通路426を出て、その地点で、その流れは、調整ガス供給部402からの調整ガスの反対向きの噴流によって妨げられる。
図4に示すように、化学蒸着装置100は、化学的隔離チャンバまたはハウジング110、蒸着チャンバ120、シャワーヘッドモジュール130、台座モジュール140の上面に載置された基板またはウエハ(図示せず)を上下させるためにシャワーヘッドモジュール130に対して上下されうる移動台座モジュール140、ならびに、複数の流体バルブ400を備える。さらに、調整ガスまたはパージガス供給ライン402は、流体バルブ400の各々の上側部分に接続される。
代表的な実施形態によると、流体バルブ400は、蒸着チャンバ120のガス/圧力制御システムに組み込まれる。流体バルブ400は、さらなるガス利用および空洞150の体積の追加を最小限に抑えつつ、蒸着チャンバ120の出口で局所的にコンダクタンス制御を可能にする。代表的な実施形態によると、これは、一連の分散された流体バルブ400からの調整ガス流を利用することによって達成できる。流体バルブ400の流出口416は、調整流が、空洞150内から出る流れと相互作用して局所的な圧力降下および/またはコンダクタンス変化を生み出す噴流を作るようなサイズおよび配置を有しうる。
図5は、代表的な実施形態に従って、流体バルブ400を示す図である。図5に示すように、流体バルブ400は、調整ガス部分410およびチャンバ流出部分420を備える。調整ガス部分410は、1または複数の導管すなわちガス供給ライン404を介して調整ガス供給部402に流体連通されている。調整ガス供給部402は、不活性ガス(例えば、窒素(N2)またはアルゴン(Ar)など)の形態であることが好ましい調整ガス406を供給する。
代表的な実施形態によると、調整ガス部分410は、調整流入口412を有しており、調整流入口412は、1または複数の導管すなわちガス供給ライン404、内部空洞413、少なくとも1つの流入口414、および、少なくとも1つの流出口416を介して、調整ガスを受け入れる。代表的な実施形態によると、少なくとも1つの流入口414および少なくとも1つの流出口416は、流体バルブ400の調整ガス部分410内の円筒孔であり、導管418を形成する。代表的な実施形態によると、少なくとも1つの流出口416は、少なくとも1つの流入口412よりも小さい直径を有しており、それにより、調整ガス406を集束させて、ウエハ空洞またはチャンバ空洞150からのリアクタガス流408と流体連通する調整ガス406の噴流または流れを形成する。調整ガス406およびリアクタガス流408は、1または複数の真空ガスライン160と流体連通する空洞または排気導管170内で混合される。
代表的な実施形態によると、チャンバ流出部分420は、空洞150と流体連通されており、空洞150からリアクタガスを受け入れる1または複数の流導管426を備える。代表的な実施形態によると、1または複数の流導管426の各々は、流入口424および流出口422を有する。流導管426の流入口424および流出口422は、直径が等しいことが好ましい。代表的な実施形態によると、1または複数の流導管426の流入口424は、シャワーヘッドモジュール130の凹部450と流体連通されている。凹部450は、1または複数の導管440と流体連通されており、1または複数の導管440の各々は、空洞150と流体連通する流入口442および流出口444を有する。
代表的な実施形態によると、調整ガス406の噴流または流れは、空洞150からのリアクタガス流408の流れを遮断し、それにより、リアクタガス流408が空洞150から漏れるまたは放出される抵抗および能力が高くなる。代表的な実施形態によると、リアクタガス流408が増大するにつれ、或る時点で、調整ガス406が押しのけられ(例えば、増大する流れによって噴流が分散され)、リアクタガス流408の抵抗が低くなる。代表的な実施形態によると、遷移点は、チャンバガス流すなわちリアクタガス流408の流量との比較で調整ガス406の流量、流出口416の寸法、および/または、調整ガス部分410の流出口416と、流導管426の流出口422(またはリアクタ出口面)との間の距離を調節または変更することによって効果的に調整できる。
代表的な実施形態によると、調整ガス406およびチャンバガス流すなわちリアクタガス流408の流量は、流体バルブ400の調整部分410およびチャンバ部分420における対応する流入口414、424および流出口416、422のサイズすなわち直径と共に、調整ガス406の流量を調節することによって制御できる。さらに、流体バルブ400の性能は、1または複数の真空ライン160のフォアライン圧力に基づいて制御または変更することが可能であり、例えば、フォアライン圧力が低くなるほど、制限性能が向上する。
代表的な実施形態によると、流体バルブ400は、ALDなどの処理のための化学物質または処理ガスの利用量を削減することが可能であり、処理できる基板190の数を増やすこともできる。さらに、本明細書に開示した調整ガス406を有する流体バルブ400を備えた装置100は、供給工程に向けて空洞150の圧力を高めるのに必要な時間を削減できる。例えば、スループット(すなわち、所与の時間枠内に処理されるウエハまたは基板の数)が、供給時間の削減により改善されうる。
代表的な実施形態によると、複数の流体バルブ400は、シャワーヘッドモジュール130の外縁の周りに対称的に配置されており、1または複数の排気導管170を介して空洞150ならびに1または複数の真空ライン160と流体連通されている。代表的な実施形態によると、流体バルブ400の数は、シャワーヘッドモジュール130の凹部430内の流出口すなわち導管440の数と等しい。例えば、代表的な実施形態において、複数の流体バルブ400は、10から120の流体バルブ400、好ましくは20から80の流体バルブ400であってよい。代表的な実施形態によると、複数の流体バルブ400の各々がさらに2つの排気導管440に流体連通されている装置100について、流体バルブ400の数は、例えば、2から10の間であってよい。
図6は、代表的な実施形態に従って、磁気継手を有する回転または方位角バルブ500を有する化学蒸着装置100を示す断面図である。図6に示すように、化学蒸着装置100は、空洞150を有する化学的隔離チャンバまたはハウジング110、シャワーヘッドモジュール130、台座モジュールの上面の基板またはウエハ(図示せず)の位置を上下させるためにシャワーヘッドモジュール130に対して上下されうる移動台座モジュール(図示せず)、ならびに、回転または方位角バルブ500を備える。
図7は、代表的な実施形態に従って図7に示すように、化学蒸着装置100の回転バルブ500の一部を示す断面図である。図7に示すように、回転バルブ500は、少なくとも1つの回転ベアリング512(例えば、Xタイプの薄肉ベアリング)を有する上側の回転または方位角プレートまたはリング510(すなわち、大気中の回転プレート)と、少なくとも1つの回転ベアリング530を有する下側の回転または方位角プレートまたはリング520(すなわち、真空中の回転プレート)とを備える。下側回転プレートまたはリング520は、対応する排気導管174を介して空洞150からのリアクタ化学物質を受け入れるよう構成された複数の導管または通路540を備える。複数の通路540の各々は、下面に流入口542および上面に流出口544を有する。流出口544は、内部空洞すなわちプレナム550と流体連通しており、プレナム550は、排気導管170を介して1または複数の真空ガスライン160(図示せず)と流体連通する。代表的な実施形態によると、回転バルブ500の上側回転プレートまたはリング510は、曲げによって駆動されてもよいし、あるいは、上側回転プレートまたはリング510は、一体的な曲げ要素またはベアリング要素を備えた滑車駆動プレートに一体化されてもよい。
代表的な実施形態によると、複数の排気導管175の各々は、さらに、空洞150と流体連通する流入口176と、下側プレート520の流入口542と流体連通する流出口178とを備える。代表的な実施形態によると、流出口178は、空洞150の周りに同心円状に配置され、円周方向に離間されており、半径方向に伸びる排気通路180が、空洞150を排気導管175に接続している。排気通路180は、空洞150の外縁から半径方向外向きに複数の排気導管175まで伸びている。
代表的な実施形態によると、複数の排気導管175は、下側プレートまたはリング510の複数の通路540と整列されることが可能であり、下側プレートまたはリング510の回転後に、複数の排気導管175の流出口178と下側プレートまたはリング510の流入口542との整列により、回転または方位角プレート500のコンダクタンスが変化する。代表的な実施形態によると、下側プレート520は、局所的コンダクタンス制御アセンブリまたはバルブとして機能する。1つの工程または処理から次に至るまでの複数の排気導管175(例えば、リアクタの出口)でのコンダクタンスは、例えば、リアクタ出口を構成する穴または導管174が、下側の回転または方位角プレート520のリアクタ出口部分の特徴物すなわち複数の通路540と整列される(または、整列されない)程度など、回転プレート510、520の特徴物によって制御される。
代表的な実施形態によると、複数の排気導管175および/または複数の通路540は、円形の穴、楕円、または、その他のサイズの開口部であってよい。代表的な実施形態によると、複数の排気導管175および通路540は、約60から120の間の数であってよく、約90が最も好ましい。さらに、複数の排気導管175および複数の通路540のサイズおよび形状を変化させることにより、回転バルブ500のコンダクタンスを望むように調節できる。例えば、最小コンダクタンスは、導管および穴174、540の数、導管および穴174、540のサイズ、ならびに/もしくは、導管および穴174、540の断面形状を小さくすることによって下げることができる。
使用中、時計回りまたは反時計回りの方向に上側プレート510を回転させると、下側プレート520の対応する回転が起きる。下側プレート520の回転は、下側プレート540内の複数の通路540の流入口542に対する排気導管175の流入口178の相対位置を変化させる。代表的な実施形態によると、通路540の流入口542に対する排気導管175の流出口178の相対位置は、空洞150からのリアクタ化学物質192の流れまたはコンダクタンスを制御する。流出口178および流入口542が互いに整列されると、最大流量が生じうる。あるいは、流出口178および流入口542が部分的にのみ整列されると、流量が低減しうる。
代表的な実施形態によると、上側および下側プレート510、520は、大気側および真空側にXタイプのベアリング(例えば、Kaydon(登録商標)ベアリング)を備え、大気側を磁気的に線形伝達型モータ(linear transfer style motor)または音声コイルアクチュエータ(図示せず)に結合してよい。代表的な実施形態によると、例えば、接続導管または穴174、540を2ないし3°だけ回転運動させれば、装置100についてコンダクタンスを最小流量から最大流量に変化させることができる。さらに、回転プレート510、520のシステムは、必要に応じて、所望の開閉速度および周波数応答などの特徴を有するコンダクタンス制御アセンブリ200を提供するように設計可能であり、それにより、装置100のスループットを改善できる。回転プレート510、520の形状および質量(慣性モーメント)を、化学的隔離チャンバ110内に収まるようなサイズにすることもできる。
代表的な実施形態によると、上側プレート510および下側プレート520は、上側プレート510および下側プレート520の周りに均等に分散された複数の磁石(図示せず)を有する。代表的な実施形態によると、上側プレート510の回転時に、複数の磁石は、下側プレート520の対応する回転を引き起こす。代表的な実施形態によると、真空中で回転するプレート520は、大気側で回転するプレート510と磁気的に結合されてよく、プレート510は、滑車、モータ、ベルト駆動などの回転手段または周知の方法によって駆動されうる。
代表的な実施形態によると、上側プレート510および下側プレート520は、互いに磁気的に結合されてよく、上側プレート510は、ねじタイプの運動によって、例えば、滑車、モータ、ベルト駆動などの回転手段または周知の方法によって駆動されてよく、これらは、コンダクタンスの迅速な変化に有用でありうる。
図8は、代表的な実施形態に従って、開位置(左のバルブ600A)および閉位置(右のバルブ600B)のリニア磁気結合バルブ600A、600Bを有する化学蒸着装置100の蒸着チャンバ120および空洞150を示す断面図である。図9に示すように、リニア磁気結合バルブ600A、600Bは、複数のリニアロッド620を有するリニアプレートまたはリング610を備えており、複数のリニアロッド620は、複数の排気流路624内で磁気的に上下されるよう構成され、複数の排気流路624は、開位置で空洞150からリアクタ化学物質を放出すると共に閉位置で空洞150からリアクタ化学物質が漏れるのを防ぐバルブとして機能するよう構成されている。
代表的な実施形態によると、複数の排気流路624は、空洞150の周りに同心円状に配置された複数の排気通路180(図7)と流体連通する。排気通路180は、空洞150の外縁から半径方向外向きに複数の排気流路624まで伸びている。代表的な実施形態によると、リニアロッド620の各々の上側部分622は、磁気ハウジング630と磁気的に結合されている。磁気ハウジング630は、その作動時に、磁気結合によって複数のリニアロッド620を上げ下げするよう構成されており、空洞150内部から排気導管650を介して内部空洞640に反応ガスを放出するためのバルブとして機能する。装置100は、さらに、排気導管170を備えており、排気導管170は、空洞150および内部空洞640と流体連通する。
図9は、代表的な実施形態に従って、閉位置のリニアバルブ600Bを有する化学蒸着装置100の空洞150を示す断面図である。図9に示すように、複数のリニアロッド620の各々は、近位端622および遠位端624を有する。複数のリニアロッド620の各々は、さらに、近位部分626を含んでおり、近位部分626は、磁気ハウジング630と磁気的に結合されるよう構成され、磁気ハウジング630は、磁気ハウジング630内でリニアロッド620を上下させる。リニアロッド620の各々の遠位端624は、蒸着チャンバ120および/または空洞150内のリアクタ化学物質のためのチョークまたはバルブとして機能する。代表的な実施形態によると、リニアロッド620の各々の遠位端624を持ち上げると、リアクタ化学物質および/またはパージガスが、蒸着チャンバ120および/または空洞150から排気通路180を介して内部空洞640に放出される。
また、本明細書では、処理装置内で半導体基板を処理する方法が開示されている。その方法は、リアクタ化学物質をリアクタ化学物質供給源から蒸着チャンバ内に供給する工程と、プラズマ処理チャンバ内で半導体基板を処理する工程とを含む。その方法は、好ましくは、基板をプラズマ処理する工程を備え、RFエネルギがRF発生器を用いてリアクタ化学物質に印加され、蒸着チャンバ内にプラズマが生成される。
本明細書で「約」という用語を数値と共に用いた場合、関連した数値が、述べられた数値の±10%の許容範囲を含むことを意図する。
さらに、「略」、「比較的」、および、「実質的に」という用語を幾何学的形状と共に用いた場合、幾何学的形状が正確である必要はなく、許容範囲の形状が開示の範囲に含まれることを意図する。幾何学的用語と共に用いられた場合、「略」、「比較的」、および、「実質的に」という用語は、厳密な定義を満たす形状だけでなく、厳密な定義に極めて近い形状をも含むことを意図する。
具体的な実施形態を参照しつつ、等温蒸着チャンバを備えたプラズマ処理装置について詳細に説明したが、添付の特許請求の範囲を逸脱することなく、様々な変更および変形を行い、等価物を用いることが可能であることは、当業者にとって明らかである。例えば、以下の適用例として実施可能である。
[適用例1]化学蒸着装置であって、
化学的隔離チャンバと、
前記化学的隔離チャンバ内に形成された蒸着チャンバと、
フェースプレートおよびバッキングプレートを有すると共に、リアクタ化学物質を空洞に供給する複数の流入口と、リアクタ化学物質を除去する排気流出口とを備えたシャワーヘッドモジュールと、
前記排気流出口を介して前記空洞に流体連通する少なくとも1つのコンダクタンス制御アセンブリと
を備え、
前記少なくとも1つのコンダクタンス制御アセンブリには1または複数の排気真空ラインを介して排気装置が流体連通され、
前記少なくとも1つのコンダクタンス制御アセンブリは、
(a)ボールバルブアセンブリであって、
円錐形の下側部分を有するハウジングと、
前記ハウジングの前記円錐形の下側部分から前記空洞の前記排気流出口の内の1または複数まで伸び、流入口および流出口を有する導管と、
前記円錐形の下側部分内に収まるよう構成された球体と
を備え、
前記球体は、供給工程中には、前記導管の前記流出口を遮断して、前記導管を通して前記リアクタ化学物質が流れるのを防ぎ、パージ工程中には、前記空洞内で第1の圧力および流量を超えると、上昇して、前記球体の下面と、前記導管の前記流出口との間に開口部を提供することにより、前記リアクタ化学物質およびパージガスが前記空洞から前記1または複数の排気真空ラインに流れることを可能にするよう構成されているボールバルブアセンブリ、
(b)調整ガス部分およびチャンバ流出部分を有する流体バルブであって、前記調整ガス部分は、調整ガス供給部からの調整ガスの流れを前記空洞からのリアクタ化学物質の流れに向けるよう構成され、前記調整ガスの流れは、前記空洞からの前記リアクタ化学物質の前記流れによって経験される流れ抵抗を調整する流体バルブ、
(c)回転バルブであって、
上側回転プレートと、
前記上側回転プレートに磁気的に結合された下側回転プレートと
を備え、
前記下側回転プレートは、複数の導管を有し、前記複数の導管の各々は、前記空洞からのリアクタ化学物質を、前記排気流出口の内の1または複数と流体連通する前記シャワーヘッドモジュール内の対応する排気導管から受け入れるよう構成されている回転バルブ、および/または、
(d)磁気的に結合されたリニアバルブであって、
磁気ハウジングと、
複数の流路内で磁気的に上下されるよう構成された複数のリニアロッドであって、前記複数のリニアロッドの各々は、前記磁気ハウジング内で前記複数のリニアロッドを上下させる前記磁気ハウジングと磁気的に結合されるよう構成された近位部分と、前記リアクタ化学物質および/または前記パージガスを前記排気流出口から放出するためのバルブとして機能する遠位端とを有するリニアロッドと
を備えるリニアバルブ
の内の1または複数から選択される装置。
[適用例2]適用例1に記載の装置であって、
前記空洞から前記リアクタ化学物質をパージするために前記空洞に供給されるパージガスの供給源を備える装置。
[適用例3]適用例1に記載の装置であって、前記ボールバルブアセンブリの前記ハウジングは、前記1または複数の排気真空ラインと流体連通する上側部分を備える装置。
[適用例4]適用例1に記載の装置であって、前記ボールバルブアセンブリの前記球体は、前記供給工程中に、前記空洞内で前記第1の圧力および流量以下になった時に、前記導管の前記流出口を遮断するよう構成されている装置。
[適用例5]適用例1に記載の装置であって、
基板を支持するよう構成された台座モジュールを備え、
前記台座モジュールは、前記台座モジュールと、前記フェースプレートの外側部分との間の前記空洞を閉じるように垂直移動し、前記少なくとも1つのコンダクタンス制御アセンブリは、前記基板台座モジュールの周りで円周方向に均等に離間された複数のコンダクタンス制御アセンブリである装置。
[適用例6]適用例5に記載の装置であって、前記複数のコンダクタンス制御アセンブリの各々は、2以上の排気流出口と流体連通されるよう構成されている装置。
[適用例7]適用例1に記載の装置であって、前記ボールバルブアセンブリの前記球体は、耐腐食性材料で製造され、前記球体の重さおよびサイズは、前記空洞から前記リアクタ化学物質をパージする間のみ持ち上がるよう構成されている装置。
[適用例8]適用例1に記載の装置であって、
半導体基板を備え、
化学蒸着、プラズマ強化化学蒸着、原子層蒸着、プラズマ強化原子層蒸着、パルス蒸着層、および/または、プラズマ強化パルス蒸着層の内の少なくとも1つが、前記基板に実行される装置。
[適用例9]適用例1に記載の装置であって、前記流体バルブの調整ガスは、不活性ガスである装置。
[適用例10]適用例9に記載の装置であって、前記流体バルブの前記調整ガス部分は、前記調整ガス供給部から前記調整ガスを受け入れる調整流入口と、内部空洞と、少なくとも1つの流入口と、少なくとも1つの流出口とを有し、前記少なくとも1つの流出口は、前記調整ガスの流れを前記空洞からの前記リアクタ化学物質の流れに向けるよう構成されている装置。
[適用例11]適用例10に記載の装置であって、前記少なくとも1つの流入口および前記少なくとも1つの流出口は、前記流体バルブの前記調整ガス部分内の円筒孔であり、導管を形成する装置。
[適用例12]適用例1に記載の装置であって、前記流体素子の前記調整ガスおよび前記リアクタ化学物質は、前記1または複数の真空ガスラインと流体連通する空洞内で混合される装置。
[適用例13]適用例1に記載の装置であって、前記回転バルブの前記上側回転プレートおよび前記下側回転プレートは、磁気的に結合される装置。
[適用例14]適用例13に記載の装置であって、
前記上側回転プレートを回転させるための手段を備える装置。
[適用例15]適用例14に記載の装置であって、前記下側回転プレート内の前記複数の導管の各々は、前記下側回転プレートの下面上に流入口および上面上に流出口を有し、前記複数の排気導管の各々は、さらに、前記空洞と流体連通する流入口および前記下側回転プレートの前記流入口と流体連通する流出口を備える装置。
[適用例16]適用例15に記載の装置であって、前記下側回転プレートの前記流出口の各々は、前記1または複数の排気ガスラインと流体連通する内部空洞と流体連通する装置。
[適用例17]適用例1に記載の装置であって、前記排気流出口は、同心の排気流出口である装置。
[適用例18]適用例1に記載の装置であって、前記少なくとも1つのコンダクタンス制御アセンブリは、最小コンダクタンスから最大コンダクタンスまで3桁の範囲を有する装置。
[適用例19]化学蒸着装置の空洞内のコンダクタンスを制御する方法であって、
前記化学蒸着装置の前記空洞内で基板を処理する工程であって、前記空洞は、シャワーヘッドモジュールと、前記基板を受けるよう構成された基板台座モジュールとの間に形成され、前記シャワーヘッドモジュールは、リアクタ化学物質を前記空洞に供給する複数の流入口と、リアクタ化学物質およびパージガスを前記空洞から除去する排気流出口とを備える工程と、
パージガスを前記空洞内に注入する工程と、
前記排気流出口を介して前記空洞に流体連通する少なくとも1つのコンダクタンス制御アセンブリで、前記空洞のコンダクタンスの変化を制御する工程と
を備え、
前記少なくとも1つのコンダクタンス制御アセンブリは、
(a)ボールバルブアセンブリであって、
円錐形の下側部分を有するハウジングと、
前記ハウジングの前記円錐形の下側部分から前記空洞の前記排気流出口の内の1または複数まで伸び、流入口および流出口を有する導管と、
前記円錐形の下側部分内に収まるよう構成された球体と
を備え、
前記球体は、供給工程中には、前記導管の前記流出口を遮断して、前記導管を通して前記リアクタ化学物質が流れるのを防ぎ、パージ工程中には、前記空洞内で第1の圧力および流量を超えると、上昇して、前記球体の下面と、前記導管の前記流出口との間に開口部を提供することにより、前記リアクタ化学物質およびパージガスが前記空洞から前記1または複数の排気真空ラインに流れることを可能にするよう構成されているボールバルブアセンブリ、
(b)調整ガス部分およびチャンバ流出部分を有する流体バルブであって、前記調整ガス部分は、調整ガス供給部からの調整ガスの流れを前記空洞からのリアクタ化学物質の流れに向けるよう構成され、前記調整ガスの流れは、前記空洞からの前記リアクタ化学物質の前記流れによって経験される流れ抵抗を調整する流体バルブ、
(c)回転バルブであって、
上側回転プレートと、
前記上側回転プレートに磁気的に結合された下側回転プレートと
を備え、
前記下側回転プレートは、複数の導管を有し、前記複数の導管の各々は、前記空洞からのリアクタ化学物質を、前記排気流出口の内の1または複数と流体連通する前記シャワーヘッドモジュール内の対応する排気導管から受け入れるよう構成されている回転バルブ、および/または、
(d)磁気的に結合されたリニアバルブであって、
磁気ハウジングと、
複数の流路内で磁気的に上下されるよう構成された複数のリニアロッドであって、前記複数のリニアロッドの各々は、前記磁気ハウジング内で前記複数のリニアロッドを上下させる前記磁気ハウジングと磁気的に結合されるよう構成された近位部分と、前記リアクタ化学物質および/または前記パージガスを前記排気流出口から放出するためのバルブとして機能する遠位端とを有するリニアロッドと
を備えるリニアバルブ
の内の1または複数から選択される方法。
[適用例20]適用例19に記載の方法であって、
1または複数の排気真空ラインで前記少なくとも1つのコンダクタンス制御アセンブリを排気装置に接続する工程を備える方法。

Claims (9)

  1. 化学蒸着装置の空洞内のコンダクタンスを制御する方法であって、
    前記化学蒸着装置の前記空洞内で基板を処理する工程であって、前記空洞は、シャワーヘッドモジュールと、前記基板を受けるよう構成された基板台座モジュールとの間に形成され、前記シャワーヘッドモジュールは、リアクタ化学物質を前記空洞に供給する複数の流入口と、リアクタ化学物質およびパージガスを前記空洞から除去する排気流出口とを備える工程と、
    パージガスを前記空洞内に注入する工程と、
    前記排気流出口を介して前記空洞に流体連通する少なくとも1つのコンダクタンス制御アセンブリで、前記空洞のコンダクタンスの変化を制御する工程と
    を備え、
    前記少なくとも1つのコンダクタンス制御アセンブリは、
    (a)ボールバルブアセンブリであって、
    円錐形の下側部分を有するハウジングと、
    前記ハウジングの前記円錐形の下側部分から前記空洞の前記排気流出口の内の1または複数まで伸び、流入口および流出口を有する導管と、
    前記円錐形の下側部分内に収まるよう構成された球体と
    を備え、
    前記球体は、供給工程中には、前記導管の前記流出口を遮断して、前記導管を通して前記リアクタ化学物質が流れるのを防ぎ、パージ工程中には、前記空洞内で第1の圧力および流量を超えると、上昇して、前記球体の下面と、前記導管の前記流出口との間に開口部を提供することにより、前記リアクタ化学物質およびパージガスが前記空洞から前記1または複数の排気真空ラインに流れることを可能にするよう構成されているボールバルブアセンブリ、
    (b)調整ガス部分およびチャンバ流出部分を有する流体バルブであって、前記調整ガス部分は、調整ガス供給部からの調整ガスの流れを前記空洞からのリアクタ化学物質の流れに向けるよう構成され、前記調整ガスの流れは、前記空洞からの前記リアクタ化学物質の前記流れによって経験される流れ抵抗を調整する流体バルブ、
    (c)回転バルブであって、
    上側回転プレートと、
    前記上側回転プレートに磁気的に結合された下側回転プレートと
    を備え、
    前記下側回転プレートは、複数の導管を有し、前記複数の導管の各々は、前記空洞からのリアクタ化学物質を、前記排気流出口の内の1または複数と流体連通する前記シャワーヘッドモジュール内の対応する排気導管から受け入れるよう構成されている回転バルブ、および/または、
    (d)磁気的に結合されたリニアバルブであって、
    磁気ハウジングと、
    複数の流路内で磁気的に上下されるよう構成された複数のリニアロッドであって、前記複数のリニアロッドの各々は、前記磁気ハウジング内で前記複数のリニアロッドを上下させる前記磁気ハウジングと磁気的に結合されるよう構成された近位部分と、前記リアクタ化学物質および/または前記パージガスを前記排気流出口から放出するためのバルブとして機能する遠位端とを有するリニアロッドと
    を備えるリニアバルブ
    の内の1または複数から選択される方法。
  2. 請求項1に記載の方法であって、
    1または複数の排気真空ラインで前記少なくとも1つのコンダクタンス制御アセンブリを排気装置に接続する工程を備える方法。
  3. 化学蒸着装置であって、
    化学的隔離チャンバと、
    前記化学的隔離チャンバ内に形成された蒸着チャンバと、
    フェースプレートおよびバッキングプレートを有すると共に、前記フェースプレートが、リアクタ化学物質を空洞に供給する複数の流入口と、前記空洞の外縁から半径方向外向きに伸びる排気通路を経由して前記空洞から前記流入口と半径方向外向きにリアクタ化学物質を除去する排気流出口とを備えたシャワーヘッドモジュールと、
    前記排気流出口を介して前記空洞に流体連通する少なくとも1つのコンダクタンス制御アセンブリと
    を備え、
    前記少なくとも1つのコンダクタンス制御アセンブリには1または複数の排気真空ラインを介して排気装置が流体連通され、
    前記少なくとも1つのコンダクタンス制御アセンブリは、
    気的に結合されたリニアバルブであって、
    磁気ハウジングと、
    前記1または複数の排気真空ラインを介して流体連通された複数の流路内で磁気的に上下されるよう構成された複数のリニアロッドであって、前記複数のリニアロッドの各々は、前記磁気ハウジング内で前記複数のリニアロッドを上下させる前記磁気ハウジングと磁気的に結合されるよう構成された近位部分と、前記リアクタ化学物質および/またはパージガスを前記排気流出口から放出するためのバルブとして機能する遠位端とを有するリニアロッドと
    を備えるリニアバルブを備える装置。
  4. 請求項3に記載の装置であって、
    前記空洞から前記リアクタ化学物質をパージするために前記空洞に供給されるパージガスの供給源を備える装置。
  5. 請求項3に記載の装置であって、
    基板を支持するよう構成された台座モジュールを備え、
    前記台座モジュールは、前記台座モジュールと、前記フェースプレートの外側部分との間の前記空洞を閉じるように垂直移動し、前記少なくとも1つのコンダクタンス制御アセンブリは、前記台座モジュールの周りで円周方向に均等に離間された複数のコンダクタンス制御アセンブリである装置。
  6. 請求項5に記載の装置であって、前記複数のコンダクタンス制御アセンブリの各々は、2以上の排気流出口と流体連通されるよう構成されている装置。
  7. 請求項3に記載の装置であって、
    半導体基板を備え、
    化学蒸着、プラズマ強化化学蒸着、原子層蒸着、プラズマ強化原子層蒸着、パルス蒸着層、および/または、プラズマ強化パルス蒸着層の内の少なくとも1つが、前記半導体基板に実行される装置。
  8. 請求項3に記載の装置であって、前記排気流出口は、同心の排気流出口である装置。
  9. 請求項3に記載の装置であって、前記少なくとも1つのコンダクタンス制御アセンブリは、最小コンダクタンスから最大コンダクタンスまで3桁の範囲を有する装置。
JP2018088156A 2013-07-03 2018-05-01 コンダクタンス制御を有する化学蒸着装置 Active JP6574020B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/934,594 US9490149B2 (en) 2013-07-03 2013-07-03 Chemical deposition apparatus having conductance control
US13/934,594 2013-07-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014136530A Division JP6335688B2 (ja) 2013-07-03 2014-07-02 コンダクタンス制御を有する化学蒸着装置

Publications (2)

Publication Number Publication Date
JP2018152577A JP2018152577A (ja) 2018-09-27
JP6574020B2 true JP6574020B2 (ja) 2019-09-11

Family

ID=52133091

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014136530A Active JP6335688B2 (ja) 2013-07-03 2014-07-02 コンダクタンス制御を有する化学蒸着装置
JP2018088156A Active JP6574020B2 (ja) 2013-07-03 2018-05-01 コンダクタンス制御を有する化学蒸着装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014136530A Active JP6335688B2 (ja) 2013-07-03 2014-07-02 コンダクタンス制御を有する化学蒸着装置

Country Status (6)

Country Link
US (2) US9490149B2 (ja)
JP (2) JP6335688B2 (ja)
KR (3) KR102224889B1 (ja)
CN (3) CN104278253B (ja)
SG (2) SG10201403692RA (ja)
TW (2) TWI676708B (ja)

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI725067B (zh) * 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) * 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR101994700B1 (ko) * 2017-09-28 2019-07-01 주식회사 유진테크 샤워헤드 및 기판처리장치
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102590931B1 (ko) 2018-07-27 2023-10-19 어플라이드 머티어리얼스, 인코포레이티드 열 증착을 위한 가스 분배 판
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7540864B2 (ja) * 2020-06-15 2024-08-27 東京エレクトロン株式会社 シャワープレート及び成膜装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230074554A (ko) * 2020-09-25 2023-05-30 램 리써치 코포레이션 고온 프로세스들을 위한 축방향으로 냉각된 금속 샤워헤드들
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2422040A (en) * 1945-01-27 1947-06-10 Gen Electric Magnetic drive
US2481172A (en) * 1948-05-17 1949-09-06 Jesse D Staggs Magnetically driven fluidhandling device
US2669668A (en) * 1949-02-05 1954-02-16 Hermag Pumps Ltd Magnetically driven centrifugal pump
US3055391A (en) * 1959-06-16 1962-09-25 Jenkins Bros Valve
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS63228716A (ja) * 1987-03-18 1988-09-22 Fujitsu Ltd 気相成長装置
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
DE68914473T2 (de) * 1988-01-18 1994-07-28 Hitachi Ltd Drehbares Ventil.
US4825897A (en) * 1988-05-19 1989-05-02 Shade Stephen A Flow control valve
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5361053A (en) * 1993-10-07 1994-11-01 Unisia Jecs Corporation Super magnetostriction type actuator
JPH07263351A (ja) * 1994-03-22 1995-10-13 Hitachi Ltd 気相成長装置
US5488969A (en) * 1994-11-04 1996-02-06 Gas Research Institute Metering valve
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
DE19601541A1 (de) * 1995-01-27 1996-08-01 Seiko Seiki Kk In einer Vakuumumgebung einsetzbares Vertikaltransfersystem sowie dazugehöriges Absperrventilsystem
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5701043A (en) * 1996-09-09 1997-12-23 Razzaghi; Mahmoud High resolution actuator
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
JP4359395B2 (ja) * 1998-10-08 2009-11-04 カムコン・リミテッド 磁気駆動装置
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6572924B1 (en) * 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
JP2001279450A (ja) * 2000-03-31 2001-10-10 Hitachi Kokusai Electric Inc 基板処理装置
US6531069B1 (en) * 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4335469B2 (ja) * 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
KR100528561B1 (ko) 2003-04-21 2005-11-16 엘지전자 주식회사 전자기력 구동 유량 제어 밸브 및 그의 제조방법과 이를이용한 열 교환 장치
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US7262536B2 (en) * 2003-08-11 2007-08-28 General Motors Corporation Gearless wheel motor drive system
SI21714A (en) * 2004-02-23 2005-08-31 Inst Jozef Stefan Procedure and device for measuring ultrahigh vacuum
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
US7171981B2 (en) * 2004-07-02 2007-02-06 Watersav Enterprises, Llc Flow control device and system
US7227440B2 (en) * 2005-03-03 2007-06-05 Pratt & Whitney Canada Corp. Electromagnetic actuator
US7281700B2 (en) * 2005-04-12 2007-10-16 Tokyo Electron Limited Gate valve apparatus for vacuum processing system
TWI312012B (en) * 2005-07-13 2009-07-11 Applied Materials Inc Improved magnetron sputtering system for large-area substrates having removable anodes
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080191155A1 (en) * 2007-02-09 2008-08-14 Intevac, Inc. Magnetically coupled valve actuator
JP5135856B2 (ja) * 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
CN101680561B (zh) * 2007-05-31 2011-12-21 东京毅力科创株式会社 流体控制装置
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
GB0723827D0 (en) * 2007-12-06 2008-01-16 Kohler Mira Ltd Flow control valve
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP4815538B2 (ja) * 2010-01-15 2011-11-16 シーケーディ株式会社 真空制御システムおよび真空制御方法
JP2011174540A (ja) * 2010-02-24 2011-09-08 Tokyo Electron Ltd 真空排気用のボールバルブ及び真空排気装置
US20110256724A1 (en) 2010-04-15 2011-10-20 Novellus Systems, Inc. Gas and liquid injection methods and apparatus
CN102242349A (zh) * 2010-05-14 2011-11-16 亚树科技股份有限公司 可拆卸式进出气结构及其导电膜成膜装置
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
JP5967088B2 (ja) * 2011-07-12 2016-08-10 旭硝子株式会社 積層膜付きガラス基板の製造方法
US8613267B1 (en) * 2011-07-19 2013-12-24 Lightsail Energy, Inc. Valve
US9212422B2 (en) * 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
CN105749992A (zh) * 2012-08-08 2016-07-13 史密斯探测-沃特福特有限公司 入口闭合组件
KR101308044B1 (ko) * 2013-02-18 2013-10-22 주식회사 월드자석카플링 자석식 커플링장치
US20140241848A1 (en) * 2013-02-25 2014-08-28 Varian Semiconductor Equipment Associates, Inc. Electric switchable magnet slitvalve
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10217614B2 (en) * 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate

Also Published As

Publication number Publication date
JP6335688B2 (ja) 2018-05-30
TWI650444B (zh) 2019-02-11
CN110158061B (zh) 2021-06-18
KR102490167B1 (ko) 2023-01-18
US20150011095A1 (en) 2015-01-08
KR20210029176A (ko) 2021-03-15
CN104278253B (zh) 2019-05-31
SG10201403692RA (en) 2015-02-27
US9490149B2 (en) 2016-11-08
CN113186519B (zh) 2023-06-20
KR102358027B1 (ko) 2022-02-08
TW201512451A (zh) 2015-04-01
CN110158061A (zh) 2019-08-23
KR20220018530A (ko) 2022-02-15
KR20150004771A (ko) 2015-01-13
US20170009348A1 (en) 2017-01-12
TW201907051A (zh) 2019-02-16
JP2015015469A (ja) 2015-01-22
SG10201802646PA (en) 2018-05-30
KR102224889B1 (ko) 2021-03-08
JP2018152577A (ja) 2018-09-27
TWI676708B (zh) 2019-11-11
CN113186519A (zh) 2021-07-30
CN104278253A (zh) 2015-01-14

Similar Documents

Publication Publication Date Title
JP6574020B2 (ja) コンダクタンス制御を有する化学蒸着装置
CN104250728B (zh) 具有气封的化学沉积腔室
CN105970187B (zh) 多区反应器、包括该反应器的系统和使用该反应器的方法
CN107365976B (zh) 用于注射器至基板的空隙控制的装置及方法
CN107974668B (zh) 基座组件及处理室
US10781516B2 (en) Chemical deposition chamber having gas seal
CN109075024B (zh) 微体积沉积腔室
KR20230088467A (ko) 열적 균일 증착 스테이션
JP2018110221A (ja) ガスシールを有する化学蒸着チャンバ
WO2019161109A1 (en) Plasma spreading apparatus and system, and method for spreading plasma in process ovens
WO2021257318A1 (en) Asymmetric exhaust pumping plate design for a semiconductor processing chamber
US20220122819A1 (en) Semiconductor chamber components for back diffusion control
KR102290913B1 (ko) 기판 처리 장치
KR20230151586A (ko) 가스 공급 유닛을 포함하는 기판 처리 장치 및 기판 처리 방법
TW202428073A (zh) 基板處理設備及擋板
WO2023239607A1 (en) Throttle valve and foreline cleaning using a microwave source
KR20200010876A (ko) 기판 처리 장치 및 방법
KR20180132216A (ko) 버퍼 유닛 및 이를 가지는 기판 처리 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180529

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190716

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190814

R150 Certificate of patent or registration of utility model

Ref document number: 6574020

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250