KR20130031237A - 공유되는 리소스들을 갖는 프로세스 챔버들 및 이들의 사용 방법들 - Google Patents

공유되는 리소스들을 갖는 프로세스 챔버들 및 이들의 사용 방법들 Download PDF

Info

Publication number
KR20130031237A
KR20130031237A KR1020127019826A KR20127019826A KR20130031237A KR 20130031237 A KR20130031237 A KR 20130031237A KR 1020127019826 A KR1020127019826 A KR 1020127019826A KR 20127019826 A KR20127019826 A KR 20127019826A KR 20130031237 A KR20130031237 A KR 20130031237A
Authority
KR
South Korea
Prior art keywords
substrate
heat transfer
transfer fluid
substrate support
chamber
Prior art date
Application number
KR1020127019826A
Other languages
English (en)
Inventor
제러드 아흐마드 리
제임스 피. 크루즈
앤드류 응우옌
코리 린 코브
밍 수
마틴 제프 살리나스
안첼 셰이너
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130031237A publication Critical patent/KR20130031237A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

공유되는 리소스를 갖는 프로세스 챔버와 사용 방법이 제공된다. 일부 실시예에서, 기판 프로세싱 시스템은 제1프로세스 챔버 내에 배치되는 제1기판 지지부를 갖는 제1프로세스 챔버와, 제2프로세스 챔버 내에 배치되는 제2기판 지지부를 갖는 제2프로세스 챔버와, 제1냉각판 및 제2냉각판에 열전달 유체를 제공하는 출구와 상기 제1냉각판 및 제2냉각판으로부터 열전달 유체를 수용하는 입구를 갖는 공유되는 열전달 유체 소스를 포함하며, 상기 제1기판 지지부는 제1기판 지지부의 온도를 제어하기 위한 제1히터 및 제1냉각판을 가지며, 상기 제2기판 지지부는 제2기판 지지부의 온도를 제어하기 위한 제2히터 및 제2냉각판을 갖는다.

Description

공유되는 리소스를 갖는 프로세스 챔버 및 그 사용 방법{PROCESS CHAMBERS HAVING SHARED RESOURCES AND METHODS OF USE THEREOF}
본 발명의 실시예는 일반적으로 기판 프로세싱 시스템에 관한 것이다.
반도체 제품의 증가되는 제조율을 촉진시키기 위하여, 다수의 기판들이 프로세싱 챔버 내에서 동시에 제조될 수 있다. 종래의 프로세싱 시스템은 전달 챔버(transfer chamber)에 연결되는 2개 또는 3개 이상의 프로세스 챔버를 포함하는 클러스터 툴(cluster tool)로서 구성될 수 있다. 그 내부에서 특수한 프로세스의 수행을 촉진시키기 위하여, 각각의 프로세스 챔버에는 리소스(resource) 공급부를 통해 많은 프로세싱 리소스가 제공된다. 예를 들어, 이런 프로세싱 리소스 중 하나는 프로세스 챔버의 하나 또는 둘 이상의 부분에 대한 온도 제어를 촉진시키기 위하여 열전달 유체 공급부에 의해 제공되는 열전달 유체이다. 전형적으로, 프로세싱 시스템 내의 각각의 프로세스 챔버는 그에 각각 연결되는 열전달 유체 공급부를 갖는다. 각각의 열전달 유체 공급부는 원하는 온도로 유지되는 저장조(reservoir)를 포함한다. 그러나, 열전달 유체 공급부의 각각의 저장조 내에서 열전달 유체를 원하는 온도로 유지하는 데는 다량의 에너지가 요구되어, 비용이 많이 소요되고 비능률적인 시스템으로 된다.
따라서, 기판 제조의 효율을 개선시키고 프로세싱 시스템의 비용을 절감시키기 위해, 본 발명자들은 공유되는 리스소를 갖는 프로세스 챔버 및 그 사용 방법을 제공한다.
공유되는 리스소를 갖는 프로세스 챔버 및 그 사용 방법이 여기에 제공된다. 일부 실시예에서, 기판 프로세싱 시스템은 제1프로세스 챔버 내에 배치되는 제1기판 지지부를 갖는 제1프로세스 챔버와, 제2프로세스 챔버 내에 배치되는 제2기판 지지부를 갖는 제2프로세스 챔버와, 및 제1냉각판 및 제2냉각판에 열전달 유체를 제공하는 출구와 그리고 상기 제1냉각판 및 상기 제2냉각판으로부터 열전달 유체를 수용하는 입구를 갖는 공유되는 열전달 유체 소스를 포함할 수 있으며, 상기 제1기판 지지부는 상기 제1기판 지지부의 온도를 제어하기 위해 제1냉각판을 통해 열전달 유체를 순환시키는 제1히터 및 제1냉각판을 가지며, 상기 제2기판 지지부는 제2기판 지지부의 온도를 제어하기 위한 제2히터 및 제2냉각판을 갖는다.
일부 실시예에서, 공유되는 프로세싱 리소스를 갖는 트윈(twin) 챔버 프로세싱 시스템의 기판을 프로세싱하는 방법은, 제1기판 지지부에 배치되는 제1히터를 사용하여 트윈 챔버 프로세싱 시스템의 제1프로세스 챔버의 제1기판 지지부상에 배치되는 제1기판을 제1온도로 가열하고 그리고 상기 제1기판 지지부에 배치되는 제1냉각판을 통해 열전달 유체를 흘림으로써 제1기판의 제1온도를 유지하는 단계와, 제2기판 지지부에 배치되는 제2히터를 사용하여 트윈 챔버 프로세싱 시스템의 제2프로세스 챔버의 제2기판 지지부상에 배치되는 제2기판을 제1온도로 가열하고 그리고 상기 제2기판 지지부에 배치되는 제2냉각판을 통해 열전달 유체를 흘림으로써 제2기판의 제1온도를 유지하는 단계와, 그리고 각각의 제1프로세스 챔버 및 제2프로세스 챔버의 각각의 기판에 제1온도가 도달되었을 때 상기 제1 및 제2기판상에 제1프로세스를 수행하는 단계를 포함할 수 있으며, 상기 열전달 유체는 공유되는 열전달 유체 소스에 의해 상기 제1 및 제2냉각판에 공급된다.
일부 실시예에서, 공유되는 프로세싱 리소스를 갖는 트윈 챔버 프로세싱 시스템의 기판을 프로세싱하는 방법은, 열전달 유체 소스로부터 제1기판 지지부를 통해 열전달 유체를 흘림으로써 트윈 챔버 프로세싱 시스템의 제1프로세스 챔버의 제1기판 지지부상에 배치되는 제1기판을 제1온도로 유지하는 단계와, 열전달 유체 소스로부터 제2기판 지지부를 통해 열전달 유체를 흘림으로써 트윈 챔버 프로세싱 시스템의 제2프로세스 챔버의 제2기판 지지부상에 배치되는 제2기판을 제1온도로 유지하는 단계와, 각각의 제1프로세스 챔버 및 제2프로세스 챔버의 각각의 기판에 상기 제1온도가 도달되었을 때 제1 및 제2기판상에 제1프로세스를 수행하는 단계를 포함할 수 있으며, 상기 열전달 유체 소스는 제1 및 제2기판 지지부에 병렬로 연결된다.
본 발명의 다른 및 추가적인 실시예가 하기에 서술된다.
위에서 간략히 요약되고 하기에 상세히 서술되는 바와 같이, 본 발명의 실시예는 첨부된 도면에 도시되는 본 발명의 예시적인 실시예를 참조하여 이해될 수 있다. 그러나, 첨부된 도면은 본 발명의 전형적인 실시예만을 도시하고 있으며, 따라서 본 발명이 다른 등가의 유효한 실시예를 허용할 수 있기 때문에 그 범위를 제한하는 것으로 간주되어서는 안됨을 인식해야 한다.
도1은 본 발명의 일부 실시예에 따른 공유되는 리소스를 갖는 하나 또는 둘 이상의 프로세스 챔버에 사용하기 적합한 예시적인 프로세싱 시스템을 도시한 도면.
도2는 본 발명의 일부 실시예에 따른 공유되는 리소스에 사용하기 적합한 2개의 예시적인 프로세스 챔버를 도시한 도면.
도3은 본 발명의 일부 실시예에 따른 기판을 프로세싱하는 방법을 도시한 도면.
이해를 촉진시키기 위하여, 도면에 공통적인 동일한 요소를 나타내도록 가능한 한 동일한 도면부호가 사용되었다. 도면은 척도대로 도시되어 않았으며, 명확함을 위해 단순화될 수 있다. 일 실시예의 요소 및 특징은 추가적인 설명 없이 다른 실시예에 유익하게 통합될 수 있음이 예상된다.
공유되는 리스소를 갖는 프로세스 챔버 및 그 사용 방법이 여기에 제공된다. 본 발명의 방법 및 장치는 공유되는 리소스를, 예를 들어 공유되는 열전달 유체 공급부를, 프로세싱 시스템 내의 더 많은 복수의 프로세스 챔버에 유리하게 동시에 제공할 수 있으며, 따라서 프로세싱 시스템의 효율을 증가시키고 작동 비용을 절감시킨다.
도1에 있어서, 일부 실시예에서, 프로세싱 시스템(100)은 일반적으로 진공-기밀 프로세싱 플랫포옴(104), 팩토리(factory) 인터페이스(102), 및 시스템 제어기(144)를 포함할 수 있다. 여기에 제공되는 교시에 따라 적절히 수정될 수 있는 프로세싱 시스템의 예는 센투라(Centura)? 인테그레이티드 프로세싱 시스템, 프로세싱 시스템의 PRODUCER? 라인 중의 하나(PRODUCER? GT™ 과 같은), ADVANTEDGE™ 프로세싱 시스템, 또는 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈 인코포레이티드로부터 상용으로 입수할 수 있는 다른 적절한 프로세싱 시스템을 포함한다. 본 발명으로부터 이익을 얻기 위하여 다른 프로세싱 시스템(다른 제조자로부터의 것을 포함하는)이 채택될 수도 있음이 예상된다.
플랫포옴(104)은 복수의 프로세싱 챔버(6개 도시됨)(110, 111, 112, 132, 128, 120)와, 전달 챔버(136)에 연결되는 적어도 하나의 부하-잠금(load-lock) 챔버(2개 도시됨)(122)를 포함할 수 있다. 각각의 프로세스 챔버는 슬릿(slit) 밸브 또는 프로세스 챔버들의 각각의 내부 체적을 전달 챔버(136)의 내부 체적에 선택적으로 유체연결시키는 다른 선택적으로 밀봉가능한 개구를 포함한다. 유사하게, 각각의 부하 잠금 챔버(122)는 부하 잠금 챔버들(122)의 각각의 내부 체적을 전달 챔버(136)의 내부 체적에 선택적으로 유체연결시키는 포트를 포함한다. 팩토리 인터페이스(102)는 부하 잠금 챔버(122)를 통해 전달 챔버(136)에 연결된다.
일부 실시예에서, 예를 들어, 도1에 도시된 바와 같이, 프로세싱 챔버(110, 111, 112, 132, 128, 120)는 쌍을 이루어 집단화될 수 있으며, 각각의 쌍의 각각의 프로세싱 챔버(110 및 111, 112 및 132, 128 및 120)는 서로 인접하여 위치된다. 일부 실시예에서, 프로세스 챔버의 각각의 쌍은 프로세스 챔버의 각각의 쌍이 여기에 서술되는 바와 같이 제공되는 어떤 공유되는 리소스를 갖는 공통 하우징에 제공될 수 있는 트윈 챔버 프로세싱 시스템(101, 103, 105)의 부분일 수 있다. 각각의 트윈 챔버 프로세싱 시스템(101, 103, 105)은 서로 격리될 수 있는 한 쌍의 독립적인 프로세싱 체적을 포함할 수 있다. 예를 들어, 각각의 트윈 챔버 프로세싱 시스템은 각각의 제1 및 제2프로세싱 체적을 갖는 제1프로세스 챔버 및 제2프로세스 챔버를 포함할 수 있다. 각각의 프로세스 챔버에서 기판의 실질적으로 독립적인 프로세싱을 촉진시키기 위하여, 제1 및 제2프로세싱 체적이 서로 격리될 수 있다. 트윈 챔버 프로세싱 시스템 내의 프로세스 챔버의 격리된 프로세싱 체적은, 프로세싱 중 프로세싱 체적들이 유체연결되는 다기판(multi-substrate) 프로세싱 시스템으로 인해 유발될 수 있는 프로세싱 문제점들을 유리하게 감소 또는 제거한다.
또한, 트윈 챔버 프로세싱 시스템은 감소된 시스템 족적(foot print), 하드웨어 경비, 유틸리티 사용 및 비용, 유지, 등을 촉진시키는 공유되는 리소스를 추가로 유리하게 사용하는 반면에, 동시에 높은 기판 처리량(throughput)을 촉진시킨다. 예를 들어, 도1에 도시된 바와 같이, 프로세싱 리소스(146A, 146B, 146C)(모두 합쳐서 146)(즉, 프로세스 가스 공급부, 전원 공급부, 등)가 각각의 프로세싱 챔버들(110 및 111, 112 및 132, 128 및 120) 사이에서, 및/또는 각각의 트윈 프로세싱 시스템(101, 103, 105)의 프로세싱 챔버의 각각의 쌍 내에서 각각 공유되도록, 프로세싱 챔버가 구성될 수 있다. 공유되는 하드웨어 및/또는 리소스의 다른 예는 프로세스 포라인(foreline) 및 러핑(roughing) 펌프, AC 분배부(distribution) 및 DC 전원 공급부, 냉각수 분배부, 칠러, 다채널 열(thermo) 제어기, 가스 패널(panel), 제어기 등 중 하나 또는 둘 이상을 포함할 수 있다. 본 발명에 따라 수정될 수 있는 트윈 챔버 프로세싱 시스템의 하나의 예가 밍 쑤(Ming Xu) 등에 의해 2010년 4월 30일자로 출원되고, 발명의 명칭이 "트윈 챔버 프로세싱 시스템" 인 미국 가특허출원 제61/330,156호에 기재되어 있다.
일부 실시예에서, 팩토리 인터페이스(102)는 기판의 전달을 촉진시키기 위하여 적어도 하나의 도킹(docking) 스테이션(108) 및 적어도 하나의 팩토리 인터페이스 로봇(2개 도시됨)을 포함한다. 도킹 스테이션(108)은 하나 또는 둘 이상의(2개 도시됨) 전방 개구 통합 포드(pod)(FOUP)(106A-B)를 받아들이도록 구성된다. 일부 실시예에서, 팩토리 인터페이스 로봇(114)은 일반적으로 부하 잠금 챔버(122)를 통한 프로세싱을 위하여 인터페이스(102)로부터 프로세싱 플랫포옴(104)으로 기판을 전달하도록 구성되는 로봇(114)의 한쪽 단부상에 배치되는 팩토리 블레이드(blade)(116)를 포함한다. 선택적으로, FOUP(106A-B)로부터의 기판의 측정을 촉진시키기 위해, 하나 또는 둘 이상의 계량(metrology) 스테이션(118)이 팩토리 인터페이스(102)의 터미널(126)에 연결될 수도 있다.
일부 실시예에서, 각각의 부하 잠금 챔버(122)는 팩토리 인터페이스(102)에 연결되는 제1포트(123)와 그리고 전달 챔버(136)에 연결되는 제2포트(125)를 포함할 수 있다. 전달 챔버(136)의 진공 환경과 팩토리 인터페이스(102)의 실질적인 대기(예를 들어, 공기) 환경 사이에서 기판의 통과를 촉진시키기 위하여, 부하 잠금 챔버(122)는 부하 잠금 챔버(122)를 펌핑 다운 및 통기(vent)시키는 압력 제어 시스템에 연결될 수 있다.
일부 실시예에서, 전달 챔버(136)는 그 내부에 배치되는 진공 로봇(130)을 갖는다. 진공 로봇(130)은 일반적으로 가동 아암(131)에 연결되는 하나 또는 둘 이상의 전달 블레이드(2개 도시됨)를 포함한다. 예를 들어 프로세싱 챔버(110, 111, 112, 132, 128, 120)가 도1에 도시된 바와 같이 2개의 그룹으로 배치되는 일부 실시예에서, 진공 로봇(130)은 진공 로봇(130)이 부하 잠금 챔버(122)로부터 프로세싱 챔버의 각각의 쌍(110 및 111, 112 및 132, 128 및 120)으로 2개의 기판(124)을 동시에 전달할 수 있도록 구성되는 2개의 평행한 전달 블레이드(134)를 포함할 수 있다.
프로세싱 챔버(110, 111, 112, 132, 128, 120)는 기판 프로세싱에 사용되는 임의의 타입의 프로세스 챔버일 수 있다. 그러나, 공유되는 리소스를 사용하기 위해, 각각의 쌍의 프로세싱 챔버는 에칭 챔버, 증착 챔버, 등과 같은 동일한 타입의 챔버이다. 여기에 제공되는 교시에 따라 수정될 수 있는 적절한 에칭 챔버의 비 제한적인 예는 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼즈 인포코레이티드로부터 입수할 수 있는 챔버의 분리형 플라즈마 소스(DPS) 라인, HART™, E-MAX?, 또는 ENABLER? 에칭 챔버 중 어떤 것이라도 포함한다. 일부 실시예에서, 하나 또는 둘 이상의 프로세스 챔버(110, 111, 112, 132, 128, 120)는 도2에 대해 하기에 서술되는 프로세스 챔버와 유사할 수 있다. 다른 제조자로부터의 것을 포함하는 다른 에칭 챔버가 사용될 수 있다.
시스템 제어기(144)는 프로세싱 시스템(100)에 연결된다. 시스템 제어기(144)는 시스템(100)의 프로세스 챔버(110, 111, 112, 132, 128, 120)의 직접 제어를 사용하여, 또는 대안적으로 프로세스 챔버(110, 111, 112, 132, 128, 120) 및 시스템(100)과 관련되는 컴퓨터(또는 제어기)를 제어함으로써 시스템(100)의 작동을 제어한다. 작동 시, 시스템(100)의 성능을 최적화하기 위해, 시스템 제어기(144)는 각각의 챔버 및 시스템 제어기(144)로부터의 데이터 수집 및 피드백을 가능하게 한다.
시스템 제어기(144)는 일반적으로 중앙 처리 장치(CPU)(138), 메모리(140), 및 지원 회로(142)를 포함한다. CPU(138)는 산업적 셋팅(setting)에 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 메모리 또는 컴퓨터-판독가능한 매체(140)는 CPU(138)에 의해 억세스 가능하며, 랜덤 억세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의 임의의 다른 형태의 디지탈 저장장치와 같은 용이하게 입수할 수 있는 메모리 중 하나 또는 둘 이상일 수 있다. 지원 회로(142)는 통상적으로 CPU(138)에 연결되며, 캐시(cache), 클럭(clock) 회로, 입력/출력 서브시스템, 전원 공급부, 등을 포함할 수 있다. 여기에 서술되는 본 발명의 방법은 일반적으로 CPU(138)에 의해 실행되었을 때 프로세스 챔버의 쌍이 본 발명에 따른 프로세스를 수행하도록 유발시키는 소프트웨어 루틴(routine)으로서 메모리(140)에(또는 하기에 서술되는 바와 같이, 특수한 프로세스 챔버 쌍의 메모리에) 저장될 수 있다.
도2는 본 발명의 일부 실시예에 따른 하나 또는 둘 이상의 공유되는 리소스와 함께 사용하기에 적합한 2개의 예시적인 프로세스 챔버(112, 132)를 도시하고 있다. 프로세스 챔버(112, 132)는 예를 들어 도1에 대해 위에 서술한 프로세스 챔버와 같은 임의의 타입의 프로세스 챔버일 수 있다. 각각의 프로세스 챔버(112, 132)는 동일한 타입의 프로세스 챔버일 수 있으며, 그리고 일부 실시예에서 트윈 챔버 프로세싱 챔버[도1에 도시된 트윈 챔버 프로세싱 시스템(105)과 같은]의 부분일 수 있다. 일부 실시예에서, 각각의 프로세스 챔버는 에칭 챔버이며, 그리고 트윈 챔버 프로세싱 시스템의 부분이다.
일부 실시예에서, 각각의 프로세스 챔버(예를 들어, 112, 132)는 일반적으로 프로세싱 체적(238)을 포함할 수 있는 내부 체적(240)을 갖는 챔버 본체(236)를 포함할 수 있다. 프로세싱 체적(238)은 예를 들어 프로세싱 중 그 위에 기판(226)을 지지하기 위해 프로세스 챔버(112, 132) 내에 배치되는 기판 지지부 받침대(pedestal)(202)와 샤워헤드(228)와 같은 하나 또는 둘 이상의 가스 입구 및/또는 원하는 위치에 제공되는 노즐 사이에 한정(define)될 수 있다.
일부 실시예에서, 기판 지지부 받침대(202)는 정전 척(chuck), 진공 척, 기판 유지 클램프, 등과 같은 기판 지지부 받침대(202)의 표면(242)상에 기판(226)을 유지 또는 지지하는 메카니즘을 포함할 수 있다. 예를 들어, 일부 실시예에서, 기판 지지부 받침대(202)는 정전 척(246) 내에 배치되는 처킹(chucking) 전극(224)을 포함할 수 있다. 상기 처킹 전극(224)은 하나 또는 둘 이상의 각각의 짝이룸(matching) 네트웍(도시되지 않음)을 통해 하나 또는 둘 이상의 처킹 전원[챔버 당(per) 하나의 처킹 전원(206)이 도시됨]에 연결될 수 있다. 하나 또는 둘 이상의 처킹 전원(206)은 약 2 MHz, 또는 약 13.56 MHz, 또는 약 60 MHz 의 주파수로 12,000 W 까지 생산할 수 있다. 일부 실시예에서, 하나 또는 둘 이상의 처킹 전원(206)은 연속적인 또는 펄스형 전력을 제공할 수 있다. 일부 실시예에서, 처킹 전원은 DC 또는 펄스형 DC 소스일 수 있다.
일부 실시예에서, 기판 지지부(202)는 기판 지지 표면(242) 및 그 위에 배치되는 기판(226)의 온도를 제어하기 위한 하나 또는 둘 이상의 메카니즘을 포함할 수 있다. 예를 들어, 기판 지지 표면(242)의 아래에 하나 또는 둘 이상의 흐름 통로를 한정하여 열전달 유체를 흐르게 하기 위해, 하나 또는 둘 이상의 채널(244)이 제공될 수 있다. 상기 하나 또는 둘 이상의 채널(244)은 프로세싱 중 기판 지지 표면(242) 및 그 위에 배치되는 기판(226)을 횡단하는 온도 프로필(profile)에 대해 적절한 온도를 제공하기에 적절한 임의의 방식으로 구성될 수 있다. 일부 실시예에서, 하나 또는 둘 이상의 채널(244)은 냉각판(218) 내에 배치될 수 있다. 일부 실시예에서, 냉각판(218)은 정전 척(246) 아래에 배치될 수 있다.
열전달 유체는 기판(226)으로 또는 상기 기판(226)으로부터 열의 적절한 전달을 제공하기에 적합한 임의의 유체를 포함할 수 있다. 예를 들어, 열전달 유체는 헬륨(He), 산소(O2) 등과 같은 가스, 또는 물, 부동액(antifreeze)과 같은 액체, 또는 알콜, 예를 들어 글리세롤, 에틸렌 글리세롤, 프로필렌, 메탄올일 수 있다.
공유되는 열전달 유체 소스(214)는 각각의 프로세스 챔버(132)의 하나 또는 둘 이상의 채널(244)에 열전달 유체를 동시에 공급할 수 있다. 일부 실시예에서, 공유되는 열전달 유체 소스(214)는 각각의 프로세스 챔버(112, 132)에 병렬로 연결될 수 있다. 예를 들어, 각각의 프로세스 챔버(112, 132)의 하나 또는 둘 이상의 채널(244)에 열전달 유체를 제공하기 위해, 공유되는 열전달 유체 소스(214)는 하나 또는 둘 이상의 공급 도관(챔버 당 하나 도시됨)(256, 260)에 연결되는 적어도 하나의 출구(232)를 포함한다. 일부 실시예에서, 각각의 공급 도관(256, 260)은 실질적으로 유사한 유체 전도도(conductance)를 가질 수 있다. 여기에 사용되는 바와 같이, 실질적으로 유사한 유체 전도도는 +/- 10 % 내를 의미한다. 예를 들어, 일부 실시예에서, 각각의 공급 도관(256, 260)은 실질적으로 유사한 단면적 및 축방향 길이를 가질 수 있으며, 따라서 실질적으로 유사한 유체 전도도를 제공한다. 대안적으로, 일부 실시예에서, 각각의 공급 도관(256, 260)은 예를 들어 상이한 단면적 및/또는 축방향 길이와 같은 상이한 치수를 포함할 수 있으며, 따라서 상이한 유체 전도도를 각각 제공한다. 이런 실시예에서, 각각의 공급 도관(256, 260)의 상이한 치수는 각각의 프로세스 챔버(112, 132)의 하나 또는 둘 이상의 채널(244)의 각각에 열전달 유체의 상이한 흐름률을 제공할 수 있다.
또한, 각각의 프로세스 챔버(112, 132)의 하나 또는 둘 이상의 채널(244)로부터 열전달 유체를 수용하기 위해, 공유되는 열전달 유체 소스(214)는 하나 또는 둘 이상의 복귀 도관(챔버 당 하나 도시됨)(258, 262)에 연결되는 적어도 하나의 입구(234)를 포함한다. 일부 실시예에서, 각각의 공급 복귀 도관(258, 262)은 실질적으로 유사한 유체 전도도를 가질 수 있다. 예를 들어, 일부 실시예에서, 각각의 복귀 도관(258, 262)은 실질적으로 유사한 단면적 및 축방향 길이를 포함할 수 있다. 대안적으로, 일부 실시예에서, 각각의 복귀 도관(258, 262)은 예를 들어 상이한 단면적 및/또는 축방향 길이와 같은 상이한 치수를 포함할 수 있다.
열전달 유체의 온도를 제어하기 위해, 공유되는 열전달 유체 소스(214)는 온도 제어 메카니즘, 예를 들어 칠러 및/또는 히터를 포함할 수 있다. 각각의 프로세스 챔버(112, 132)에 대한 열전달 유체의 흐름율을 독립적으로 제어하기 위해, 열전달 유체 소스(214)와 하나 또는 둘 이상의 채널(244) 사이에는 하나 또는 둘 이상의 밸브 또는 다른 흐름 제어 디바이스(도시되지 않음)가 제공될 수 있다. 제어기(도시되지 않음)는 하나 또는 둘 이상의 밸브 및/또는 공유되는 열전달 유체 소스(214)의 작동을 제어할 수 있다.
작동 시, 공유되는 열전달 유체 소스(214)는 공급 도관(256, 260)을 통해 각각의 프로세스 챔버(112, 132)의 하나 또는 둘 이상의 채널(244)의 각각에 열전달 유체를 미리 결정된 온도로 제공할 수 있다. 열전달 유체가 기판 지지부(202)의 하나 또는 둘 이상의 채널(244)을 통해 유체를 흘릴 때, 열전달 유체는 기판 지지부(202)와 그에 따른 기판 지지 표면(242) 및 그 위에 배치되는 기판(226)에 열을 제공하거나, 또는 열을 제거한다. 그 후, 열전달 유체는 하나 또는 둘 이상의 채널(244)로부터 복귀 도관(258, 262)을 통해 상기 공유되는 열전달 유체 소스(214)로 다시 흐르며, 상기 복귀 도관에서 열전달 유체는 공유되는 열전달 유체 소스(214)의 온도 제어 메카니즘을 통해 미리 결정된 온도로 가열 또는 냉각된다.
일부 실시예에서, 기판 지지 표면(242)의 온도에 대한 제어를 추가로 촉진시키기 위하여, 하나 또는 둘 이상의 히터(챔버 당 하나 도시됨)(222)가 기판 지지부(202)에 가깝게 배치될 수 있다. 하나 또는 둘 이상의 히터(222)는 기판 온도에 대한 제어를 제공하기에 적합한 임의의 타입의 히터일 수 있다. 예를 들어, 하나 또는 둘 이상의 히터(222)는 하나 또는 둘 이상의 저항 히터일 수 있다. 이런 실시예에서, 하나 또는 둘 이상의 히터(22)의 가열을 촉진시키기 위해, 하나 또는 둘 이상의 히터(222)는 하나 또는 둘 이상의 히터(222)에 전력을 제공하도록 구성되는 전원(204)에 연결될 수 있다. 일부 실시예에서, 히터는 기판 지지 표면(242) 위에 또는 가깝게 배치될 수 있다. 대안적으로, 또는 조합하여, 일부 실시예에서, 상기 히터는 기판 지지부(202) 또는 정전 척(246) 내에 매립될 수도 있다. 하나 또는 둘 이상의 히터의 개수 및 배치는 기판(226)의 온도에 대한 추가적인 제어를 제공하도록 변화될 수 있다. 예를 들어, 하나 이상의 히터가 사용되는 실시예에서, 히터는 기판(226)을 횡단하는 온도에 대한 제어를 촉진시키기 위해 복수의 영역에 배치될 수 있으며, 따라서 증가된 온도 제어를 제공한다.
기판(226)은 프로세스 챔버(112, 132)의 벽의 개구(264)를 통해 프로세스 챔버(112, 132)에 들어갈 수 있다. 개구(264)는 슬릿 밸브(266)를 통해, 또는 개구(264)를 통해 챔버의 내부로의 접근을 선택적으로 제공하기 위한 다른 메카니즘을 통해 선택적으로 밀봉될 수 있다. 기판 지지부 받침대(202)는, 개구(264)를 통해 기판을 챔버의 내외로 전달하기에 적합한 하부 위치와 프로세싱에 적합한 선택가능한 상부 위치 사이에서 기판 지지부 받침대(202)의 위치를 제어할 수 있는 리프트(lift) 메카니즘(도시되지 않음)에 연결될 수 있다. 프로세스 위치는 특수한 프로세스를 위한 프로세스 균일도를 최대화하도록 선택될 수 있다. 상승된 프로세싱 위치 중 적어도 하나에 있을 때, 기판 지지부 받침대(202)는 대칭적인 프로세싱 방법(regiment)을 제공하도록 개구(264) 위에 배치될 수 있다.
프로세스 챔버(112, 132)의 프로세싱 체적(238) 내로 하나 또는 둘 이상의 프로세스 가스를 제공하기 위해, 하나 또는 둘 이상의 가스 입구[예를 들어, 샤워헤드(228)]가 독립적인 또는 상기 공유되는 가스 공급부[도시된 공유되는 가스 공급부(212)]에 연결될 수 있다. 예를 들어, 프로세스 챔버의 천장(268)에 가깝게 배치되는 샤워헤드(228)가 도2에 도시되어 있다. 그러나, 천장 또는 프로세스 챔버(112, 132)의 측벽 또는 필요 시 프로세스 챔버의 베이스(base)와 같은 프로세스 챔버(112, 132), 기판 지지부 받침대의 둘레, 등으로 가스를 제공하기에 적합한 다른 위치에 배치되는 노즐 또는 입구와 같은 추가적인 또는 대안적인 가스 입구가 제공될 수 있다.
일부 실시예에서, 플라즈마 프로세싱을 위해 프로세스 챔버(112, 132)가 RF 전력의 유도 결합을 대안적으로 사용할 수 있더라도, 플라즈마 프로세싱을 위해 프로세스 챔버(112, 132)는 용량 결합되는 RF 전력을 사용할 수 있다. 예를 들어, 기판 지지부(202)는 그 내부에 배치되는 전극(220)을 가질 수 있으며, 또는 기판 지지부(202)의 전도성 부분이 전극으로서 사용될 수 있다. 전극은 하나 또는 둘 이상의 각각의 짝이룸 네트웍(도시되지 않음)을 통해 하나 또는 둘 이상의 플라즈마 전원[프로세스 챔버 당 하나의 RF 전원(208)이 도시됨]에 연결될 수 있다. 일부 실시예에서, 예를 들어 기판 지지부(202)가 전도성 물질(예를 들어, 알루미늄과 같은 금속)로부터 제조되는 경우 기판 지지부(202)의 전도성 부분이 전극으로서 기능할 수 있고, 따라서 별도의 전극(220)에 대한 필요성을 제거한다. 하나 또는 둘 이상의 플라즈마 전원은 약 2 MHz 또는 약 13.56 MHz 의 주파수로 또는 27 MHz 및/또는 60 MHz 와 같은 고주파로 최대 약 5,000 W 를 생산할 수 있다.
일부 실시예에서, 종점(endpoint) 검출 시스템(230)은 각각의 프로세스 챔버(112, 132)에 연결될 수 있으며 그리고 프로세스의 원하는 종점이 각각의 챔버에 도달된 때를 결정하는데 사용될 수 있다. 예를 들어, 종점 검출 시스템(230)은 광학 분광계(spectrometer), 질량 분광계, 또는 프로세싱 체적(238) 내에서 수행되는 프로세스의 종점을 결정하기 위한 임의의 적절한 검출 시스템 중 하나 또는 둘 이상일 수 있다. 일부 실시예에서, 종점 검출 시스템(230)은 프로세스 챔버(112, 132)의 제어기(248)에 연결될 수 있다. 프로세스 챔버(112, 113)에 대해 단일의 제어기(248)가 도시되었지만, (트윈 챔버 프로세싱 시스템에 사용될 수 있는 바와 같이) 개별적인 제어기들이 대안적으로 사용될 수 있다.
프로세스 챔버(112, 132)로부터 배기 가스를 펌핑하기 위해, 진공 펌프(210)가 펌핑 포트를 통해 펌핑 플레넘(plenum)에 연결될 수 있다. 진공 펌프(210)는 필요 시 배기를 적절한 배기 취급 설비로 향하게 하기 위해 배기 출구에 유체연결될 수 있다. 진공 펌프(210)의 작동과 조합하여 배기 가스의 흐름률의 제어를 촉진시키기 위해, 밸브(게이트 밸브 등과 같은)가 펌핑 플레넘에 배치될 수 있다.
프로세스 챔버(112, 132)의 제어를 촉진시키기 위해, 제어기(248)는 다양한 챔버들 및 서브 프로세서들을 제어하기 위해 산업적 세팅으로 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(252)의 메모리 또는 컴퓨터-판독가능한 매체(250)는 랜덤 억세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의 임의의 다른 형태의 디지탈 저장장치와 같은 용이하게 입수할 수 있는 메모리 중 하나 또는 둘 이상일 수 있다. 지원 회로(254)는 통상적인 방식으로 프로세서를 지원하기 위해 CPU(252)에 연결된다. 이들 회로는 캐시, 전원 공급부, 클럭 회로, 입력/출력 회로 및 서브시스템 등을 포함한다.
여기에 서술되는 본 발명의 방법은 일반적으로 CPU(252)에 의해 실행되었을 때 프로세스 챔버(112, 132)로 하여금 본 발명의 프로세스들을 수행하도록 유발시키는 소프트웨어 루틴으로서 메모리(250)에 저장될 수 있다. 또한, 소프트웨어 루틴은 CPU(252)에 의해 제어되는 하드웨어로부터 멀리 위치되는 제2CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 또한, 본 발명의 방법의 일부 또는 전부는 하드웨어로 실행될 수 있다. 따라서, 본 발명은 소프트웨어로 구현(implementation)될 수 있으며, 그리고 예를 들어 주문형 반도체 또는 다른 타입의 하드웨어 구현으로서 또는 소프트웨어 및 하드웨어의 조합으로서 컴퓨터 시스템을 사용하여 하드웨어로 실행될 수 있다. CPU(252)에 의해 실행되었을 때 소프트웨어 루틴은, 범용 컴퓨터를 여기에 서술되는 방법이 수행되도록 챔버 작동을 제어하는 특수용 컴퓨터(제어기)(248)로 변환시킨다.
예를 들어, 도3은 본 발명의 일부 실시예에 따른 기판을 프로세싱하기 위한 방법(300)의 흐름도를 도시하고 있다. 방법(300)은 도1 및 도2에 대해 위에 서술한 프로세스 챔버(112, 132)와 유사한 2개 또는 3개 이상의 프로세스 챔버와 같은 임의의 적절한 프로세스 챔버에서 수행될 수 있다.
방법(300)은 일반적으로 제1프로세스 챔버의 제1기판 지지부상에 배치되는 제1기판[예를 들어, 도2의 프로세스 챔버(112)의 기판 지지부(202)상에 배치되는 기판(226)]이 제1온도로 가열되는 302 에서 시작된다. 제1온도는 원하는 프로세스의 수행을 촉진시키는데 요구되는 임의의 온도일 수 있다. 기판은 적절한 임의의 수단을 통해 그리고 수행될 특수한 프로세스에 요구되는 임의의 온도로 가열될 수 있다. 예를 들어, 일부 실시예에서, 기판은 위에 서술한 프로세스 챔버(112)의 기판 지지부(202) 내에 매립되는 히터(222)와 같은, 제1기판 지지부 내에 매립되는 히터를 통해 가열될 수 있다.
이어서, 304 에서, 제1기판 지지부에 배치되는 제1냉각판을 통해 열전달 유체를 흘림으로써 제1온도가 유지된다. 일부 실시예에서, 열전달 유체는 공유되는 열전달 유체 공급부를 통해, 예를 들어 위에 서술한 프로세스 챔버(112, 132)에 연결되는 상기 공유되는 열전달 유체 소스(214)를 통해 제공될 수 있다. 일부 실시예에서, 냉각판은 위에 서술한 프로세스 챔버(112)의 기판 지지부(202)에 배치되는 냉각판(218)과 유사할 수 있다. 이런 실시예에서, 열전달 유체는 하나 또는 둘 이상의 공급 도관(256)을 통해 냉각판(218)에 제공될 수 있다. 열전달 유체는 기판에 또는 기판으로부터 열의 적절한 전달을 제공하기에 적합한 임의의 유체를 포함할 수 있다. 예를 들어, 열전달 유체는 헬륨(He), 산소(O2) 등과 같은 가스, 또는 물, 부동액과 같은 액체, 또는 알콜, 예를 들어 글리세롤, 에틸렌 글리세롤, 프로필렌, 메탄올일 수 있다. 열전달 유체는 제1온도를 유지하는데 필요한 임의의 흐름율로 제공될 수 있다. 일부 실시예에서, 흐름율은 일정한 흐름율로 유지될 수 있으며, 또는 일부 실시예에서 제1온도를 원하는 온도로 또는 이에 가깝게 유지하기 위해 역동적으로 조정될 수 있다. 또한, 예를 들어 공유되는 열전달 유체 소스(214) 내의 원하는 온도 설정값으로 열전달 유체를 가열 또는 냉각함으로써, 열전달 유체가 원하는 온도로 제공될 수 있다.
이어서, 306 에서, 제2프로세스 챔버의 제2기판 지지부상에 배치되는 제2기판이 제1온도로 가열된다. [예를 들어, 도2의 프로세스 챔버(132)의 기판 지지부(202)상에 배치되는 기판(226)은] 제1온도로 가열된다. 제1온도는 원하는 프로세스의 수행을 촉진시키는데 요구되는 임의의 온도일 수 있다. 기판은 적절한 임의의 수단을 통해 그리고 수행될 특수한 프로세스에 요구되는 임의의 온도로 가열될 수 있다. 예를 들어, 일부 실시예에서, 기판은 예를 들어 위에 서술한 프로세스 챔버(132)의 기판 지지부(202) 내에 매립되는 히터(222)와 같은, 제1기판 지지부 내에 매립되는 히터를 통해 가열될 수 있다.
이어서, 308 에서, 제2기판 지지부에 배치되는 제2냉각판을 통해 열전달 유체를 흘림으로써 제1온도가 유지된다. 일부 실시예에서, 열전달 유체는 공유되는 열전달 유체 공급부를 통해, 예를 들어 위에 서술한 프로세스 챔버(112, 132)에 연결되는 상기 공유되는 열전달 유체 소스(214)를 통해 제공될 수 있다. 일부 실시예에서, 냉각판은 위에 서술한 프로세스 챔버(132)의 기판 지지부(202)에 배치되는 냉각판(218)과 유사할 수 있다. 이런 실시예에서, 열전달 유체는 하나 또는 둘 이상의 공급 도관(260)을 통해 냉각판(218)에 제공될 수 있다. 열전달 유체는 기판에 또는 기판으로부터 적절한 열전달을 제공하기에 적합한 임의의 유체, 예를 들어 위에 서술한 임의의 유체를 포함할 수 있다. 열전달 유체는 제1온도를 유지하는데 필요한 임의의 흐름율로 제공될 수 있다. 일부 실시예에서, 흐름율은 제1기판 지지부에 제공되는 열전달 유체의 흐름율과 동일할 수 있으며, 또는 일부 실시예에서는 상이할 수 있다. 일부 실시예에서, 흐름율은 일정한 흐름율로 유지될 수 있으며, 또는 일부 실시예에서 제1온도를 일정한 온도로 유지하기 위해 역동적으로 조정될 수 있다. 일부 실시예에서, 제1 및 제2기판은 제1온도로 병렬로 반입(bring)될 수 있으며, 이는 제1기판이 제1온도로 가열 및 유지되고 제2기판이 제1온도 오버랩(overlap)으로 가열 및 유지되는데 요구되는 시간의 적어도 일부, 및 바람직하기로는 대부분 또는 전부를 의미한다.
이어서, 310 에서, 제1 및 제2기판상에 제1프로세스가 수행된다. 제1프로세스는 기판 제조 중, 예를 들어 에칭, 증착, 어닐링, 등이 수행될 수 있는 임의의 프로세스일 수 있다. 일부 실시예에서, 제1기판상에 수행되는 제1프로세스는 제2기판상에 수행되는 제1프로세스와 동일하다. 일부 실시예에서, 예를 들어 온도 설정값이 상기 공유되는 열전달 소스(214)를 사용하는 작동과 동일하거나 또는 이에 충분히 가깝다면, 제1기판상에 수행되는 제1프로세스는 제2기판상에 수행되는 제1프로세스와 상이할 수 있다.
이어서, 312 에서, 일부 실시예에서 제1 및 제2기판의 온도는 열전달 유체의 흐름율을 변화시킴으로써 제2온도로 실질적으로 동시에 조정될 수 있다. 예를 들어, 열전달 유체의 흐름율은 제1 및 제2기판의 온도를 제2온도로 감소 또는 증가시키도록(열전달 유체가 기판으로부터 제거될 때) 또는 증가 또는 감소시키도록(열전달 유체가 기판을 가열할 때) 증가 또는 감소될 수 있다. 제1 및 제2기판의 온도는 제1 및 제2기판상에 제1프로세스가 수행되는 중 또는 수행된 후 언제라도 조정될 수 있다. 예를 들어, 일부 실시예에서, 제1 및 제2기판의 한쪽 또는 양쪽 모두에 수행되는 제1프로세스의 종점이 검출될 때, 제1 및 제2기판의 온도는 제2온도로 조정될 수 있다. 예를 들어, 일부 실시예에서, 제1프로세스가 모니터링될 수 있고, 위에 서술한 프로세스 챔버(112, 132)의 종점 검출 시스템(230)과 같은 종점 검출 시스템을 사용하여 각각의 제1 및 제2프로세스 챔버에서 제1프로세스의 종점이 검출될 수 있다.
일부 실시예에서, 제1 및 제2기판상에 수행되는 제1프로세스의 종점이 동시에 도달될 수 있다. 이런 실시예에서, 그 후 제1 및 제2기판의 온도가 동시에 조정될 수 있다. 대안적으로, 일부 실시예에서, 제1 및 제2기판상에 수행되는 제1프로세스의 종점은 동시에 도달되지 않을 수도 있다. 이런 실시예에서, 제1프로세스는 종점이 도달된 프로세스 챔버에서 종료될 수 있으며, 제1종점이 도달될 때까지 다른 챔버에서 계속될 수 있다. 그 후, 제1 및 제2기판의 온도가 동시에 조정될 수 있다.
선택적으로, 314 에서, 제2프로세스가 제1 및 제2기판상에 수행될 수 있다. 제2프로세스는 기판 제조 중, 예를 들어 에칭, 증착, 어닐링, 등이 수행될 수 있는 임의의 프로세스일 수 있다. 일부 실시예에서, 제1기판상에 수행되는 제2프로세스는 제2기판상에 수행되는 제2프로세스와 동일하다. 일부 실시예에서, 제1기판상에 수행되는 제2프로세스는 제2기판상에 수행되는 제2프로세스와 상이하다. 일부 실시예에서, 제1 및 제2기판상에 수행되는 제2프로세스는 제1 및 제2기판상에 수행되는 제1프로세스와 동일할 수 있으며, 또는 일부 실시예에서 제1 및 제2기판상에 수행되는 제2프로세스는 제1 및 제2기판상에 수행되는 제1프로세스와 상이할 수 있다.
제2프로세스가 314 에서 수행된 후, 방법(300)은 일반적으로 314 에서 종료되며, 제1 및 제2기판은 후속 프로세스들 또는 추가적인 제조 단계들로 진행할 수 있다.
따라서, 공유되는 리소스를 갖는 프로세스 챔버 및 그 사용 방법이 여기에 제공되었다. 본 발명의 장치 및 방법은 공유되는 리소스, 예를 들어 공유되는 열전달 유체 공급부를 프로세싱 시스템내의 하나 또는 둘 이상의 프로세스 챔버에 동시에 유리하게 제공할 수 있으며, 따라서 프로세싱 시스템의 효율을 증가시키고 그리고 작동 비용을 절감시킨다.
상술한 바는 본 발명의 실시예에 관한 것이지만, 그 기본적인 범위로부터의 일탈 없이 본 발명의 다른 및 추가적인 실시예가 창작될 수 있다.

Claims (15)

  1. 기판 프로세싱 시스템으로서:
    제1프로세스 챔버 내에 배치되는 제1기판 지지부를 갖는 제1프로세스 챔버 ― 상기 제1기판 지지부는 상기 제1기판 지지부의 온도를 제어하기 위해 열전달 유체를 순환시키는 하나 또는 둘 이상의 채널을 가짐 ―;
    제2프로세스 챔버 내에 배치되는 제2기판 지지부를 갖는 제2프로세스 챔버 ― 상기 제2기판 지지부는 상기 제2기판 지지부의 온도를 제어하기 위해 상기 열전달 유체를 순환시키는 하나 또는 둘 이상의 채널을 가짐 ―; 및
    상기 제1기판 지지부 및 상기 제2기판 지지부의 각각의 하나 또는 둘 이상의 채널에 열전달 유체를 제공하는 출구와, 상기 제1기판 지지부 및 상기 제2기판 지지부로부터 열전달 유체를 수용하는 입구를 갖는 공유되는 열전달 유체 소스를 포함하는
    기판 프로세싱 시스템.
  2. 제1항에 있어서,
    기판을 상기 제1기판 지지부에 정전 결합하기 위해 상기 제1프로세스 챔버의 상기 제1기판 지지부에 배치되는 제1처킹 전극; 및
    기판을 상기 제2기판 지지부에 정전 결합하기 위해 상기 제2프로세스 챔버의 상기 제2기판 지지부에 배치되는 제2처킹 전극을 더 포함하는
    기판 프로세싱 시스템.
  3. 제1항에 있어서,
    상기 제1기판 지지부에 배치되고 RF 소스로부터 RF 전력을 수용하도록 구성되는 제1RF 전극; 및
    상기 제2기판 지지부에 배치되고 RF 소스로부터 RF 전력을 수용하도록 구성되는 제2RF 전극을 더 포함하는
    기판 프로세싱 시스템.
  4. 제1항에 있어서,
    상기 제1 및 제2 프로세스 챔버 모두에 프로세스 가스를 제공하는 공유되는 가스 패널을 더 포함하는
    기판 프로세싱 시스템.
  5. 제1항에 있어서,
    중심의 진공 전달 챔버를 더 포함하며, 상기 제1 및 제2프로세스 챔버가 상기 중심의 진공 전달 챔버에 연결되는
    기판 프로세싱 시스템.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 제1기판 지지부는 제1히터 및 제1냉각판을 더 포함하고, 상기 열전달 유체를 순환시키는 상기 하나 또는 둘 이상의 채널이 상기 제1냉각판에 배치되며;
    상기 제2기판 지지부는 제2히터 및 제2냉각판을 더 포함하고, 상기 열전달 유체를 순환시키는 상기 하나 또는 둘 이상의 채널이 상기 제2냉각판에 배치되는
    기판 프로세싱 시스템.
  7. 제6항에 있어서,
    상기 공유되는 열전달 유체 소스의 상기 공유되는 입구와 상기 제1냉각판의 상기 제1입구 사이에 연결되는 제1입구 도관;
    상기 공유되는 열전달 유체 소스의 상기 공유되는 출구와 상기 제1냉각판의 상기 제1출구 사이에 연결되는 제1출구 도관;
    상기 공유되는 열전달 유체 소스의 상기 공유되는 입구와 상기 제2냉각판의 상기 제2입구 사이에 연결되는 제2입구 도관; 및
    상기 공유되는 열전달 유체 소스의 상기 공유되는 출구와 상기 제2냉각판의 상기 제2출구 사이에 연결되는 제2출구 도관을 더 포함하는
    기판 프로세싱 시스템.
  8. 제7항에 있어서,
    상기 제1 및 제2입구 도관과 상기 제1 및 제2출구 도관은 실질적으로 동일한 흐름 전도도를 갖는
    기판 프로세싱 시스템.
  9. 공유되는 프로세싱 리소스를 갖는 트윈 챔버 프로세싱 시스템에서 기판을 프로세싱하는 방법으로서:
    제1기판 지지부에 배치되는 제1히터를 사용하여 트윈 챔버 프로세싱 시스템의 제1프로세스 챔버의 제1기판 지지부상에 배치되는 제1기판을 제1온도로 가열하고, 그리고 상기 제1기판 지지부에 배치되는 제1냉각판을 통해 열전달 유체를 흘림으로써 상기 제1기판의 상기 제1온도를 유지하는 단계;
    제2기판 지지부에 배치되는 제2히터를 사용하여 트윈 챔버 프로세싱 시스템의 제2프로세스 챔버의 제2기판 지지부상에 배치되는 제2기판을 제1온도로 가열하고, 그리고 상기 제2기판 지지부에 배치되는 제2냉각판을 통해 열전달 유체를 흘림으로써 상기 제2기판의 상기 제1온도를 유지하는 단계; 및
    상기 제1프로세스 챔버와 상기 제2프로세스 챔버 각각에서의 각각의 기판에 대해 제1온도가 도달되었을 때 상기 제1 및 제2기판상에 제1프로세스를 수행하는 단계를 포함하며,
    상기 열전달 유체는 공유되는 열전달 유체 소스에 의해 상기 제1 및 제2냉각판에 공급되는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
  10. 제9항에 있어서,
    상기 프로세스에 대한 종점이 상기 제1 또는 제2프로세스 챔버 중 적어도 하나에서 도달되었을 때, 상기 공유되는 열전달 유체 소스에 의해 상기 각각의 제1 및 제2냉각판 각각에 공급되는 상기 열전달 유체의 유량을 변화시킴으로써 상기 제1 및 제2기판의 온도를 제2온도로 조정하는 단계; 및
    상기 제2온도에서 상기 제1 및 제2기판상에 제2프로세스를 수행하는 단계를 더 포함하는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
  11. 제9항에 있어서,
    제1종점 검출 시스템을 이용하여 상기 제1프로세스 챔버의 제1프로세싱 체적을 모니터링하고 제2종점 검출 시스템을 이용하여 제2프로세스 챔버의 제2프로세싱 체적을 모니터링하여, 상기 제1프로세스에 대한 종점이 어느 하나의 체적에서 도달되었는지를 결정하는 단계를 더 포함하는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
  12. 제11항에 있어서,
    제1종점이 상기 제1프로세싱 체적에서 도달된 경우에, 상기 제1 및 제2프로세스 챔버의 제1프로세스를 종료하는 단계를 더 포함하는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
  13. 제12항에 있어서,
    상기 제1종점이 도달된 후, 상기 제1 및 제2냉각판으로의 상기 열전달 유체의 상기 유량을 조정함으로써 상기 제1 및 제2기판의 온도를 제2온도로 조정하는 단계를 더 포함하는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
  14. 제9항에 있어서,
    상기 제2프로세스 챔버에서 종점이 도달될 때까지 상기 제2프로세스 챔버에서 제1프로세스를 계속하는 동안, 상기 제1프로세스 챔버에서 종점이 도달된 경우에 상기 제1프로세스 챔버의 상기 제1프로세스를 종료하는 단계; 및
    상기 제1프로세스에 대한 종점이 제1 및 제2프로세스 챔버 모두에서 도달된 후, 상기 제1 및 제2냉각판으로의 상기 열전달 유체의 유량을 조정함으로써 상기 제1 및 제2기판의 온도를 상기 제2온도로 조정하는 단계를 더 포함하는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
  15. 제9항에 있어서,
    상기 열전달 유체는 상기 공유되는 열전달 유체 소스의 공유되는 출구로부터 상기 제1냉각판의 제1입구 및 상기 제2냉각판의 제2입구로 공급되며, 상기 열전달 유체는 상기 제1냉각판의 제1출구 및 상기 제2냉각판의 제2출구로부터 상기 공유되는 열전달 유체 소스의 상기 공유되는 입구로 복귀되며, 상기 열전달 유체는 상기 공유되는 출구로부터 상기 제1 및 제2냉각판 각각으로 실질적으로 유사한 유량으로 흘려 보내지는
    트윈 챔버 프로세싱 시스템의 기판 프로세싱 방법.
KR1020127019826A 2010-04-30 2011-04-19 공유되는 리소스들을 갖는 프로세스 챔버들 및 이들의 사용 방법들 KR20130031237A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33001410P 2010-04-30 2010-04-30
US61/330,014 2010-04-30
US12/905,032 2010-10-14
US12/905,032 US20110269314A1 (en) 2010-04-30 2010-10-14 Process chambers having shared resources and methods of use thereof
PCT/US2011/032992 WO2011136974A2 (en) 2010-04-30 2011-04-19 Process chambers having shared resources and methods of use thereof

Publications (1)

Publication Number Publication Date
KR20130031237A true KR20130031237A (ko) 2013-03-28

Family

ID=44858567

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019826A KR20130031237A (ko) 2010-04-30 2011-04-19 공유되는 리소스들을 갖는 프로세스 챔버들 및 이들의 사용 방법들

Country Status (6)

Country Link
US (1) US20110269314A1 (ko)
JP (1) JP2013531364A (ko)
KR (1) KR20130031237A (ko)
CN (1) CN102741974A (ko)
TW (1) TWI527140B (ko)
WO (1) WO2011136974A2 (ko)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8851113B2 (en) 2012-03-27 2014-10-07 Lam Research Coporation Shared gas panels in plasma processing systems
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2014236060A (ja) * 2013-05-31 2014-12-15 東京エレクトロン株式会社 冷却システム、冷却方法、および基板処理装置
WO2015042309A1 (en) * 2013-09-20 2015-03-26 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104952974A (zh) * 2015-04-30 2015-09-30 新奥光伏能源有限公司 一种翻片设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6339057B2 (ja) * 2015-09-29 2018-06-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6554387B2 (ja) * 2015-10-26 2019-07-31 東京エレクトロン株式会社 ロードロック装置における基板冷却方法、基板搬送方法、およびロードロック装置
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10126790B2 (en) * 2016-05-05 2018-11-13 Applied Materials, Inc. Dual loop susceptor temperature control system
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6775432B2 (ja) * 2017-01-24 2020-10-28 Sppテクノロジーズ株式会社 真空搬送モジュール及び基板処理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11453759B2 (en) 2020-03-18 2022-09-27 Xerox Corporation Fluorescent magenta latex with enhanced brightness and toners made therefrom
US11204562B2 (en) 2020-03-18 2021-12-21 Xerox Corporation Fluorescent pink toners and related methods
US11453760B2 (en) 2020-03-18 2022-09-27 Xerox Corporation Fluorescent orange latex with enhanced brightness and toners made therefrom
US11448981B2 (en) 2020-03-18 2022-09-20 Xerox Corporation Fluorescent latexes with enhanced brightness
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318306B (zh) * 2021-12-17 2023-08-01 杭州富芯半导体有限公司 半导体工艺方法及适用该半导体工艺方法的多腔室工艺设备

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
JPH06119894A (ja) * 1992-10-06 1994-04-28 Nissin Electric Co Ltd イオン源電極冷却装置
TW262566B (ko) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP3225170B2 (ja) * 1993-10-22 2001-11-05 東京エレクトロン株式会社 真空処理装置
JP3058392B2 (ja) * 1993-11-24 2000-07-04 東京エレクトロン株式会社 低温処理装置の冷却システム
JPH08330279A (ja) * 1995-05-29 1996-12-13 Dainippon Screen Mfg Co Ltd プラズマ処理装置
JP3526220B2 (ja) * 1998-08-20 2004-05-10 松下電器産業株式会社 半導体製造装置
JP2000331991A (ja) * 1999-03-15 2000-11-30 Sony Corp 半導体装置の製造方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
JP3497848B2 (ja) * 2001-09-21 2004-02-16 アプライド マテリアルズ インコーポレイテッド 反射防止膜の形成方法及び装置並びに反射防止膜
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR20040100755A (ko) * 2003-05-24 2004-12-02 삼성전자주식회사 반도체 소자 제조 시스템 및 이를 이용한 기판 온도조절방법
KR101022663B1 (ko) * 2003-09-08 2011-03-22 주성엔지니어링(주) 정전척에서의 냉각 가스 공급구조
JP2005210080A (ja) * 2003-12-25 2005-08-04 Tokyo Electron Ltd 温度調節方法及び温度調節装置
US20060240680A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
JP5097627B2 (ja) * 2008-06-27 2012-12-12 株式会社日立ハイテクノロジーズ 真空処理装置

Also Published As

Publication number Publication date
TWI527140B (zh) 2016-03-21
US20110269314A1 (en) 2011-11-03
TW201218297A (en) 2012-05-01
CN102741974A (zh) 2012-10-17
JP2013531364A (ja) 2013-08-01
WO2011136974A3 (en) 2012-03-01
WO2011136974A2 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
KR20130031237A (ko) 공유되는 리소스들을 갖는 프로세스 챔버들 및 이들의 사용 방법들
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
JP5885736B2 (ja) ツインチャンバ処理システム
US10386126B2 (en) Apparatus for controlling temperature uniformity of a substrate
US20040212947A1 (en) Substrate support having heat transfer system
US20150030766A1 (en) Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102042612B1 (ko) 반도체 프로세싱에서 엣지 링의 열 관리
US10312062B2 (en) Temperature control system and temperature control method
WO2011094143A2 (en) Apparatus for controlling temperature uniformity of a showerhead
CN107431032B (zh) 用于减少基板处理夹盘冷凝的气流
KR101039085B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
JP3817414B2 (ja) 試料台ユニットおよびプラズマ処理装置
US11670513B2 (en) Apparatus and systems for substrate processing for lowering contact resistance
TW202111852A (zh) 載置台及電漿處理裝置
CN115485807A (zh) 用于处理基板的方法及设备
KR20220007518A (ko) 탑재대, 기판을 처리하는 장치, 및 기판을 온도 조절하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E801 Decision on dismissal of amendment