KR101052446B1 - 온도 제어면을 갖는 기판 지지체 - Google Patents

온도 제어면을 갖는 기판 지지체 Download PDF

Info

Publication number
KR101052446B1
KR101052446B1 KR1020057018806A KR20057018806A KR101052446B1 KR 101052446 B1 KR101052446 B1 KR 101052446B1 KR 1020057018806 A KR1020057018806 A KR 1020057018806A KR 20057018806 A KR20057018806 A KR 20057018806A KR 101052446 B1 KR101052446 B1 KR 101052446B1
Authority
KR
South Korea
Prior art keywords
liquid
flow passage
liquid flow
valve
fluid communication
Prior art date
Application number
KR1020057018806A
Other languages
English (en)
Other versions
KR20050118716A (ko
Inventor
키스 이 도슨
에릭 에이치 렌츠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050118716A publication Critical patent/KR20050118716A/ko
Application granted granted Critical
Publication of KR101052446B1 publication Critical patent/KR101052446B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

온도 제어된 기판 지지면을 갖는 기판 지지체는, 적어도 하나의 액체 소스 및 복수의 액체 흐름 통로를 갖는 액체 공급 시스템을 포함한다. 그 액체 공급 시스템은 액체 흐름 통로로의 액체의 분배를 제어하기 위한 밸브를 포함할 수 있다. 또한, 액체 공급 시스템은 자신의 동작을 제어하기 위한 제어기를 포함할 수 있다. 액체는 액체 흐름 통로를 통하여 다양한 패턴으로 분배될 수 있다. 또한, 기판 지지체는, 기판 지지면과 그 기판 지지면 상에서 지지되는 기판 사이에서 열 전달 가스를 공급하는 열 전달 가스 공급 시스템을 포함할 수 있다.

Description

온도 제어면을 갖는 기판 지지체{SUBSTRATE SUPPORT HAVING TEMPERATURE CONTROLLED SURFACE}
발명의 기술분야
본 발명은 플라즈마 처리 장치에 관한 것으로, 좀더 자세하게는, 온도-제어된 기판 지지체에 관한 것이다.
발명의 배경
플라즈마 처리 장치는, 반도체 재료, 유전 재료 및 금속 재료의 플라즈마 에칭, 물리 기상 증착, 화학 기상 증착 (CVD), 이온 주입 및 레지스트 제거를 포함하는 프로세스용으로 이용된다. 그 기판은, 예를 들어, 반도체 웨이퍼 및 평면 스크린 디스플레이를 포함한다. 그 기판은 다양한 정규적 및 비정규적인 형상 및 사이즈를 가질 수 있다.
반도체 재료 처리에서 이용되는 플라즈마 처리 장치의 하나의 타입은, 상부 전극 (애노드) 및 하부 전극 (캐소드) 을 수용하는 반응 챔버를 포함한다. 처리될 기판은 기판 지지체 상의 반응 챔버 내에서 지지된다. 프로세스 가스는 가스 분배 시스템에 의해 반응 챔버로 유입된다. 애노드와 캐소드 사이에서 확립되는 전계는 프로세스 가스로부터 플라즈마를 생성한다.
플라즈마 처리 동안, 에칭에 의한 기판으로부터의 재료 제거 및 기판 상의 재료 증착은 균일하여, 처리된 기판으로부터 제조되는 디바이스가 만족스런 전기적 특성을 갖는 것이 바람직하다. 그러나, 웨이퍼 상에 형성되는 피쳐 (features) 의 사이즈가 감소하는 한편, 반도체 웨이퍼 사이즈는 증가했기 때문에, 이러한 목적을 달성하기는 점점 더 어렵게 되었다.
기판은, 기계적인 척 (chucks) 및 정전 척 (ESC) 을 포함하는 기판 홀더 (holder) 에 의해, 플라즈마 처리 동안에 반응 챔버 내의 기판 지지체 상에서 보호된다. 플라즈마 처리 장치에서 이용되는 기판 지지체에서의 열 전달에 영향을 주도록 설계된 시스템은 미국특허 제 5,310,453 호; 제 5,382,311 호; 제 5,609,720 호; 제 5,671,116 호; 제 5,675,471 호; 제 5,835,334 호; 제 6,077,357 호; 제 6,108,189 호; 제 6,179,921 호; 제 6,231,776 호; 제 6,310,755 호; 제 6,373,681 호; 제 6,377,437 호; 제 6,394,797 호; 및 제 6,378,600 호에 개시되어 있다.
발명의 요약
플라즈마 처리 장치에서 유용한 기판 지지체가 제공된다. 그 기판 지지체는, 플라즈마 처리 동안에 기판을 지지하는 기판 지지체의 일면에서의 온도 제어를 제공할 수 있다.
바람직한 실시형태에서, 기판 지지체는 플라즈마 처리 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는 보디 (body); 지지면의 제 1 부분의 온도 제어를 제공하도록 보디의 제 1 부분을 통하여 연장되는 제 1 액체 흐름 통로; 지지면의 제 2 부분의 온도 제어를 제공하도록 보디의 제 2 부분을 통하여 연장되는 제 2 액체 흐름 통로; 제 1 액체 흐름 통로와 유체 소통하는 제 1 인렛 (inlet) 및 제 1 아웃렛; 및 제 2 액체 흐름 통로와 유체 소통하는 제 2 인렛 및 제 2 아웃렛을 구비한다.
기판 지지체의 다른 바람직한 실시형태는 플라즈마 처리 장치의 반응 챔버에서 기판을 지지하기 위한 지지면을 갖는 보디, 그 보디에 제공되며 각각이 공급 라인 및 복귀 라인을 갖는 복수의 액체 흐름 통로, 및 적어도 하나의 액체 소스를 포함하는 액체 공급 시스템을 구비한다. 액체 공급 시스템은 적어도 하나의 액체 소스로부터 하나 이상의 선택된 액체 흐름 통로로 액체를 공급하여, 지지면 전반에 걸친 제어된 온도 분배를 야기하도록 동작가능하다.
도면의 간단한 설명
도 1 은 기판 지지체의 바람직한 실시형태가 이용될 수 있는 예시적인 플라즈마 반응 챔버를 도시한 것이다.
도 2 는 기판 지지체의 바람직한 실시형태의 일부의 측 단면도이다.
도 3 은 방사상으로 분배되는 액체 흐름 통로 및 열 차단부 (thermal breaks) 를 포함하는 기판 지지체의 바람직한 실시형태의 일면의 저부 평면도이다.
도 4 는 액체 흐름 통로 및 열 차단부의 또 다른 분배를 갖는 기판 지지체의 또 다른 바람직한 실시형태의 일면의 저부 평면도이다.
도 5 는 액체 공급 시스템 및 열 전달 가스 공급 시스템을 포함하는 기판 지지체의 바람직한 실시형태를 개략적으로 도시한 것이다.
도 6 은 액체 공급 시스템의 바람직한 실시형태를 개략적으로 도시한 것이다.
도 7 은 액체 공급 시스템의 또 다른 바람직한 실시형태를 개략적으로 도시한 것이다.
바람직한 실시형태의 상세한 설명
플라즈마 처리 장치에서 기판의 플라즈마 처리의 균일도를 향상시키기 위하여, 재료 증착 및/또는 에칭이 발생하는 기판의 노출면에서의 온도 분배를 제어하는 것이 바람직하다. 플라즈마 에칭 프로세스에서, 기판의 노출면에서의 기판 온도 및/또는 화학반응 속도 (rate) 에서의 변동은 에칭 선택도 및 이방성 뿐 아니라 기판의 에칭 속도에서의 바람직하지 않은 변동을 야기할 수 있다. CVD 프로세스와 같은 재료 증착 프로세스에서, 기판 상에 증착되는 재료의 증착 속도 및 조성 및 특성은 증착 동안에 기판의 온도에 의해 크게 영향을 받을 수 있다.
배면측 가스 냉각 (backside gas cooling) 시스템은 기판 지지체와 그 기판 지지체 상에서 지지되는 기판 간의 열 전달을 제공하기 위해 기판 지지체에서 이용되었다. 하지만, 헬륨과 같은 열 전달 가스의 열 전달 효과는 기판 지지체의 표면 상태에 의존하며 그러한 조건은 처리 중에 변할 수도 있다고 판명되었다. 따라서, 열을 제거하기 위한 열 전달 가스의 능력은 처리 중에 감소될 수도 있다.
기판 지지체는 냉각제 흐름 통로를 포함하여 처리 중에 기판 지지체로부터 열을 제거하였다. 그러한 냉각 시스템에서는, 제어된 온도 및 설정된 부피측정 흐름 속도에서의 냉각제가 냉각제 흐름 통로로 유입된다. 그 냉각 시스템에서, 기판 지지체는 하나의 공급 라인 및 하나의 복귀 라인을 포함하였다. 그러나, 열이 기판 지지체로부터 제거될 때, 인렛으로부터 아웃렛으로 그 통로의 길이를 따라 현저한 온도 기울기 (gradient) 가 전개될 수 있는 것으로 판명되었다. 따라서, 열 전달 가스 및 기판과 접촉하는 기판 지지체의 표면에서의 온도 균일도가 제어되지 않는다. 또한, 기판 홀더는 기판의 배면측에서 열 싱크 (heat sink) 를 제공한다. 기판으로부터 기판 홀더로의 결과적인 열 전달은 공지된 플라즈마 처리 장치에서의 기판 전반에 걸친 온도의 비-균일도에 기여하였다.
이러한 단점의 관점에서, 플라즈마 처리 장치에서의 이용을 위한 온도-제어된 기판 지지체가 제공된다. 바람직한 실시형태에서, 기판 지지체는 그 기판 지지체의 표면 전반에 걸쳐 온도 제어를 제공한다. 기판 지지체는, 복수의 액체 흐름 통로를 포함하는 액체 공급 시스템을 구비한다. 기판 지지체의 표면의 원하는 온도 제어는 액체 흐름 통로로의 액체의 분배를 제어함으로써 달성될 수 있다. 또한, 액체 흐름 통로를 통한 액체의 온도 및/또는 흐름 속도와 같은 액체의 파라미터는 제어되는 것이 바람직하다.
바람직한 실시형태에서, 기판 지지체의 소정 위치에서의 온도는 액체 흐름 통로들의 각각의 온도와 관련된다. 기판 지지체의 하나 이상의 부분에서 하나 이상의 액체 흐름 통로에서의 액체 흐름을 감소 및/또는 제거함으로써, 그 부분(들)은, 더 높은 속도의 액체 흐름을 갖는 액체 흐름 통로 근방에 위치하는 기판 지지체의 다른 부분들보다 더 고온이 되게 야기될 수 있다.
바람직한 실시형태에서, 기판 지지체의 액체 공급 시스템은 하나 이상의 밸브를 포함한다. 밸브(들)의 동작은, 하나 이상의 액체 흐름 통로에 액체를 분배하고, 하나 이상의 액체 흐름 통로를 통한 액체 흐름을 방지하며/하거나, 하나 이상의 액체 흐름 통로들 사이에서 액체 방향을 전환시키기 위해 제어될 수 있다.
바람직한 실시형태에서, 기판 지지체는 열 전달 가스 공급 시스템을 포함하며, 그 열 전달 가스 공급 시스템은, 기판 상에서 지지되는 반도체 웨이퍼와 같은 기판과 기판 지지체의 표면 사이에 열 전달 가스를 공급하도록 동작가능하다. 기판 지지체에 액체 공급 시스템을 포함시킴으로써, 기판 지지체의 표면에서의 온도 조건이 제어될 수 있으며, 열 전달 가스는, 처리 중에 기판과 기판 지지체 간의 열 전달을 제어하도록 공급될 수 있다. 따라서, 기판 지지체를 이용하여, 웨이퍼 온도의 개선된 제어가 달성될 수 있다.
기판 지지체의 바람직한 실시형태가 이용될 수 있는 예시적인 플라즈마 반응장치 (reactor) 가 도 1 에 도시되어 있다. 플라즈마 반응장치는 유도성 커플형 플라즈마 반응장치이다. 당업자는, 다른 유도성 커플형 플라즈마 반응장치 구성물, ECR, 마그네트론 (magnetron), 및 용량성 커플형 플라즈마 반응장치와 같이, 플라즈마 처리 동안에 기판의 온도 제어가 요구되는 다른 타입의 플라즈마 반응장치에서 기판 지지체가 사용될 수 있음을 알 수 있다. 도 1 에 도시되어 있는 플라즈마 반응장치는, 기판에 대한 RF 바이어스뿐 아니라 기판 (13) 에 대한 클램핑력 (clamping force) 을 제공하는 정전 척 (34) 을 갖는 기판 홀더 (12) 를 포함하는 반응 챔버 (10) 를 구비한다. 기판 (13) 은, 예를 들어, 반도체 웨이퍼일 수 있다. 포커스 링 (focus ring; 14) 은 플라즈마를 기판 (13) 상에서 강화시킨다. 에너지 소스는, 반응 챔버에서 플라즈마를 생성하기 위해 반응 챔버 (10) 의 최상부에 배치된다. 에너지 소스는, 예를 들어, 플라즈마를 생성하기 위하여 RF 소스에 의해 전력공급받는 안테나 (18) 일 수 있다. 반응 챔버 (10) 는, 챔버의 내부를 원하는 압력으로 유지하기 위해 진공 펌핑 (pumping) 장치를 포함한다.
유전체 윈도우 (dielectric window; 20) 는 처리 챔버 (10) 의 내부와 안테나 (18) 사이에 배치되며, 반응 챔버 (10) 의 벽을 생성한다. 가스 분배판 (22) 은 윈도우 (20) 아래에 있으며, 프로세스 가스가 가스 공급부 (23) 로부터 반응 챔버 (10) 로 전달되는 개구부를 포함한다.
동작 시, 기판 (13) 은 기판 홀더 (12) 의 노출면 상에 위치하며 정전 척 (34) 에 의해 제자리에 유지된다. 아래에서 설명되는 바와 같이, 기판 (13) 과 정전 척 (34) 간의 열 전달을 개선시키기 위하여 열 전달 가스를 채용하는 것이 바람직하다. 프로세스 가스는 윈도우 (20) 와 가스 분배판 (22) 사이의 갭 (gap) 을 통하여 반응 챔버 (10) 에 공급된다. 안테나 (18) 에 RF 전력을 공급함으로써, 기판 (13) 과 윈도우 (20) 사이의 공간에서 플라즈마가 발생된다.
도 2 는, 정전 척을 포함하는 기판 지지체 (40) 의 바람직한 실시형태의 일부를 도시한 것이다. 기판 지지체 (40) 는 보디 (50), 유전층 (55), 유전층 (55) 에 삽입된 (embed) 도전성 전극 (60), 그 도전성 재료 (60) 에 전기 접속되는 전원 (65), 및 커버 (70) 를 구비한다. 전원 (65) 은 DC 바이어스를 전극 (60) 에 인가한다. 유전층 (55) 은 노출면 (57) 을 포함하며, 그 노출면 (57) 상에서 기판 (13) 이 지지된다. 노출면 (57) 은 원형인 것이 바람직하다. 커버 (70) 는, 보디 (50) 의 일면 (52) 을 대향하는 면 (72) 을 포함한다.
다른 방법으로, 기판 지지체 (40) 는 기계적인 척과 같은 다른 타입의 척을 포함할 수 있다. 기계적인 척은, 처리 동안에 척 상의 기판을 보호하기 위해 클램핑 링과 같은 기계적인 클램핑 배열을 포함한다.
기판 지지체 (40) 는 액체 흐름 통로 (80, 82 및 84) 와 같은 복수의 액체 흐름 통로를 포함하는 것이 바람직하다. 아래에서 더 상세히 설명되는 바와 같이, 액체는, 노출면 (57) 에서의 온도 분배를 제어하기 위한 제어 방식으로 액체 흐름 통로를 통하여 순환될 수 있다.
또한, 기판 지지체 (40) 는 하나 이상의 열 차단부 (thermal breaks; 90) 를 포함하는 것이 바람직하다. 아래에서 더 상세히 설명되는 바와 같이, 열 차단부 (90) 는 보디 (50) 의 하나 이상의 부분에서의 열 전달을 감소시킨다. 액체 공급 시스템 및 열 차단부는 기판 지지체 (40) 에서의 제어된 열 전달 능력을 제공함으로써, 기판 (13) 온도의 개선된 제어를 제공한다.
기판 지지체 (40) 의 보디 (50) 는 알루미늄, 알루미늄 합금 등과 같이 적절한 금속 또는 금속 합금을 포함할 수 있다.
유전층 (55) 은 알루미나 등과 같은 적절한 세라믹 재료를 포함할 수 있다. 도전성 재료 (60) 는 텅스텐 등일 수 있다.
커버 (70) 는 알루미늄 또는 알루미늄 합금과 같은 적절한 금속 또는 금속 합금을 포함할 수 있다.
도 3 은 웨이퍼 처리용으로 이용되는 기판 지지체 (40) 의 바람직한 구성을 도시한 것으로, 고리형상 (annular) 의 액체 흐름 통로 (80, 82 및 84) 의 배열을 포함한다. 액체 흐름 통로 (80, 82 및 84) 는 보디 (50) 의 표면 (52) 에 형성되는 채널을 포함하는 것이 바람직하다. 액체 흐름 통로 (80, 82 및 84) 는 노출면 (57) 에 평행인 것이 바람직하다.
커버 (70) 의 표면 (72) 은 보디 (50) 의 표면 (52) 과 접해 있으며, 이에 따라, 액체 흐름 통로 (80, 82 및 84) 를 부분적으로 정의한다. 커버 (70) 는 패스너 (fastener) 등에 의해 보디 (50) 에 제거가능하게 부착될 수 있거나, 다른 방법으로, 용접, 브레이징 (brazing) 등에 의해 보디에 영구적으로 부착될 수도 있다.
기판 지지체 (40) 에서의 액체 흐름 통로는, 예를 들어, 반원형, 원형, 직사각형, 정방형, 다른 다각형 등을 포함하여 다양한 단면 형상을 가질 수 있다. 액체 흐름 통로의 단면적 (즉, 횡 단면적) 은, 예를 들어, 액체 흐름 통로를 통한 액체의 원하는 부피측정 흐름 속도 및 그 액체의 열 전달 능력을 포함하여 다양한 고려사항에 기초하여, 액체 흐름 통로의 원하는 부피를 제공하도록 선택될 수 있다. 예를 들어, 액체에 의한 열 전달을 증가시키기 위하여, 액체 흐름 통로를 통한 액체의 부피측정 흐름 속도가 증가될 수 있거나 증가된 열 전달 능력을 갖는 액체가 이용될 수 있다.
기판 지지체 (40) 에서의 액체 흐름 통로는 모두 동일한 단면적을 가질 수 있거나, 2 개 이상의 액체 흐름 통로가 상이한 단면적을 가질 수도 있다. 예를 들어, 상대적으로 더 큰 열 전달이 요구되는 보디 (50) 의 하나 이상의 부분에서, 액체 흐름 통로의 단면적은, 더 낮은 열 전달이 요구되는 다른 부분에서 보다 더 클 수 있다.
도 3 에 도시되어 있는 바람직한 실시형태에서와 같이, 액체 흐름 통로 (80, 82 및 84) 는 보디 (50) 의 표면 (52) 에서 동심(同心)으로 배열되는 것이 바람직하다. 액체 흐름 통로의 이러한 동심적인 배열은 노출면 (57) 전반에 걸쳐 방사상의 온도 분배의 제어를 제공할 수 있다.
다른 방법으로, 액체 흐름 통로는, 노출면 (57) 에서의 다른 제어된 공간 온도 분배를 제공하기 위해 기판 지지체 (40) 에서 다른 배열을 가질 수 있다. 예를 들어, 도 4 는 방사상으로 옵셋되고 원주 방향으로 (circumferentially) 이격된 액체 흐름 통로 (81, 83, 85, 87) 및 중앙에 위치한 통로 (89) 의 비-동심적인 배열을 나타낸 것이다. 열 차단부 (90) 는 중앙 액체 흐름 통로 (89) 를 둘러싼다. 방사상으로 연장되는 열 차단부 (90) 는 액체 흐름 통로 (81, 83, 85 및 87) 사이에 제공되어, 기판 지지체 (40) 의 일부 및/또는 다른 액체 흐름 통로로부터 액체 흐름 통로를 열적으로 분리한다. 액체 흐름 통로 (81, 83, 85, 87 및 89) 는 고리형상인 것이 바람직하다. 그러나, 액체 흐름 통로는 직사각형, 타원형 등과 같이 다른 구성을 가질 수 있다. 액체 흐름 통로 (81, 83, 85, 87 및 89) 는 노출면 (57) 에 평행인 것이 바람직하다. 그러나, 액체 흐름 통로는 다른 배향(配向)을 가질 수 있다.
기판 지지체 (40) 에서의 액체 흐름 통로는 임의의 적절한 프로세스에 의해 형성될 수 있다. 예를 들어, 액체 흐름 통로는, 머시닝 (machining) 에 의해, 다른 방법으로는, 캐스팅 (casting) 프로세스와 같이 보디를 제조하는데 이용되는 프로세스에 의해, 보디 (50) 의 표면 (52) 에 형성될 수 있다.
액체는, 기판 지지체 (40) 에서 이용하기 위한 적절한 열 전달 특성을 갖는 임의의 액체일 수 있다. 예를 들어, 그 액체는 물 (탈이온수), 에틸렌 글리콜, 실리콘 오일, 물/에틸렌 글리콜 혼합물 등일 수 있다. 액체의 냉각 성능은, 상이한 액체 및/또는 상이한 액체의 혼합물을 이용하고, 액체 흐름 속도를 변경하고/하거나, 액체의 초기 온도 (즉, 하나 이상의 액체 흐름 통로로 유입되는 액체의 온도) 를 변경함으로써 제어될 수 있다. 아래에서 설명되는 바와 같이, 바람직하게는, 액체의 온도는 액체 공급 시스템에 의해 조정될 수 있다.
열 차단부 (90) 는 기판 지지체 (40) 에서의 열 전달을 제어한다. 예를 들어, 도 2 에 도시되어 있는 바람직한 실시형태에서, 열 차단부 (90) 는 인접한 액체 흐름 통로 (80, 82 및 82, 84) 사이에 위치하며, 열 차단부 (90) 는 액체 흐름 통로 (84) 로 둘러싸여 진다. 열 차단부 (90) 는, 액체 흐름 통로를 물리적 및 열적으로 서로 분리시킴으로써, 액체 흐름 통로 (80, 82 및 82, 84) 사이의 일부에서 및 액체 흐름 통로 (84) 내부의 일부에서 보디 (50) 를 통한 열 전달을 감소시킨다. 도 4 에 도시되어 있는 바람직한 실시형태에서, 열 차단부 (90) 는 액체 흐름 통로 (81, 83, 85, 87 및 89) 사이의 열 전달을 제어한다. 액체 흐름 통로 사이의 열 전달을 감소시킴으로써, 서로에 대한 액체 흐름 통로의 열 전달 효과 (즉, 가열 및/또는 냉각) 가 감소되며, 이에 따라, 액체 흐름 통로의 열적 제어를 개선시키며 보디의 일부를 둘러싼다.
또한, 다른 방법으로, 열 차단부는 액체 흐름 통로 (80, 82 및 84) (또는 액 체 흐름 통로 (81, 83, 85, 87 및 89)) 의 상부 및/또는 하부, 및/또는 기판 지지체 (40) 의 보디 (50) 의 다른 위치에 제공될 수도 있다. 예를 들어, 하나 이상의 열 차단부는 액체 흐름 통로 (80) 으로부터 외측으로 방사상으로 배치되어 이 부분에서의 열 전달이 제어될 수 있다. 열 차단부는 액체 흐름 통로 사이의 일부에서 및/또는 기판 지지체 (40) 의 다른 일부에서 보디 (50) 를 통한 열 전도를 감소시킨다.
열 차단부 (90) 는, 감소된 열 전도도를 갖는 여러 가지의 적절한 재료를 포함할 수 있다. 예를 들어, 열 차단부 (90) 는 공기와 같은 가스 및 액체를 포함하여 낮은 열 전도도를 갖는 적절한 유체를 포함할 수 있다. 다른 방법으로, 열 차단부 (90) 는 적절한 세라믹 재료 및 폴리머와 같은 열적 절연체, 스테인레스 스틸과 같은 다른 재료 및 금속을 포함하여 낮은 열 전도도를 갖는 적절한 고체 재료를 포함할 수 있다.
열 차단부 (90) 는 기판 지지체 (40) 에서의 상이한 구성을 가질 수 있다. 도 1 및 2 에 도시된 바와 같이, 열 차단부 (90) 는 인접한 액체 흐름 통로 사이에, 액체 흐름 통로 근방에, 및/또는 액체 흐름 통로의 상부 및/또는 하부에 위치되는 고리형상의 채널을 포함하는 것이 바람직하다. 열 차단부는 대기(大氣) 에 노출된 부분과 같이 액체 흐름 통로 사이의 공간 (voids) 일 수 있다.
도 5 는 액체 공급 시스템 (100), 열 전달 가스 공급 시스템 (200), 및 제어기 (300) 를 포함하는 기판 지지체 (40) 의 바람직한 실시형태를 도시한 것이다. 액체 공급 시스템 (100) 은, 액체 흐름 통로에 액체를 공급하기 위하여 하나 이상 의 액체 소스를 포함한다. 액체 공급 시스템은 액체 소스 (110, 120 및 130) 와 같은 복수의 액체 소스를 포함하는 것이 바람직하다. 액체 소스 (110, 120 및 130) 는, 바람직하게는 선택된 온도 및/또는 흐름 속도로, 각각의 액체 흐름 통로 (80, 82 및 84 (도 3), 또는 81, 83, 85, 87, 89 (도 4)) 에 액체를 공급하도록 동작가능한 냉각기 (chiller), 열 교환기 등을 포함할 수 있다. 또한, 액체 공급 시스템 (100) 은 적절한 유체 펌프 배열을 포함할 수 있다.
도 2 및 3 에 도시되어 있는 실시형태에서, 액체 흐름 통로 (80, 82 및 84) 는, 각각, 액체 소스 (110, 120 및 130) 와의 유체 전달에 있어서, 공급 라인 (112, 122 및 132) 을 각각 포함하며, 복귀 라인 (114, 124 및 134) 을 각각 포함한다. 액체는 액체 소스 (110, 120 및 130) 로부터 공급 라인 (112, 122 및 132) 을 경유하여 액체 흐름 통로 (80, 82 및 84) 로 각각 공급되며, 그 액체는 액체 흐름 통로 (80, 82 및 84) 를 통하여 순환하며, 그 액체는, 각각 복귀 라인 (114, 124 및 134) 을 경유하여 액체 소스 (110, 120 및 130) 로 각각 복귀한다.
열 전달 가스 공급 시스템 (200) 은 열 전달 가스 소스 (210 및 220) 와 같은 하나 이상의 열 전달 가스 소스를 포함한다. 열 전달 가스 소스 (210, 220) 는 열 전달 가스를 열 전달 가스 통로 (212, 214 및 222, 224) 에 각각 공급한다. 열 전달 가스는 열 전달 가스 통로 (212, 214 및 222, 224) 를 통하여 노출면 (57) 으로 흐르며, 여기서, 열 전달 가스는 노출면 (57) 에 형성되는 개구부 및/또는 채널 (미도시) 을 경유하여 기판 (13) 의 배면측 (14) 과 노출면 (57) 사이의 인터페이스부 (230; 도 5 에서는 확대하여 도시함) 에 분배된다. 기판 지지체의 노출 면의 존 (zone) 냉각을 제공하는 적절한 열 전달 가스 공급 시스템은, 여기에서 참조로서 전부 포함되며 공동-양수된 미국특허 제 5,609,720 호에 개시되어 있다.
열 전달 가스는, 플라즈마 처리 동안에 기판 (13) 으로부터 이탈된 열을 충분히 전달하는 열 전달 능력을 갖는 임의의 가스일 수 있다. 예를 들어, 열 전달 가스는 헬륨 등일 수 있다.
액체 소스 (110, 120 및 130) 및 열 전달 가스 소스 (210 및 220) 는 제어기 (300) 에 의해 제어되는 것이 바람직하다. 제어기 (300) 는, 액체 흐름 통로 (80, 82 및 84) 에 공급되는 액체의 파라미터를 선택적으로 변경하기 위하여 액체 소스 (110, 120 및 130) 의 동작을 제어하며, 또한, 열 전달 가스 통로 (212, 214 및 222, 224) 에 공급되는 열 전달 가스의 파라미터를 선택적으로 변경하기 위하여 열 전달 가스 소스 (210 및 220) 의 동작을 제어할 수 있다. 아래에서 더 상세히 설명되는 바와 같이, 바람직하게는, 제어기 (300) 는, 액체 소스에 의해 액체 흐름 통로에 공급되는 액체의 분배, 온도 및/또는 흐름 속도를 제어하기 위하여 액체 소스 (110, 120, 130) 의 동작을 제어할 수 있으며, 바람직하게는, 인터페이스부 (230) 에 공급되는 열 전달 가스의 흐름 속도를 제어하기 위해 열 전달 가스 소스 (210 및 220) 의 동작을 제어하여, 노출면 (57) 전반에 걸친 원하는 온도 분배를 달성할 수 있다.
제어기 (300) 는, 기판 지지체 (40) 의 하나 이상의 선택된 위치에서 및/또는 기판 (13) 상에서 (예를 들어, 배면측 (14) 에서) 온도를 측정하도록 배치되는 하나 이상의 온도 센서 (미도시) 로부터 신호를 수신하는 것이 바람직하다. 예 를 들어, 온도 센서는 하나 이상의 액체 흐름 통로 근방의 위치에서, 기판 지지체 (40) 의 주변부에서, 및/또는 노출면 (57) 근방의 위치에서 보디 (50) 내의 온도를 측정하도록 배치될 수 있다. 온도 센서는, 열 전달 가스 소스 (210 및 220) 의 동작의 제어뿐 아니라 액체 소스 (110, 120 및 130) 및 아래에서 설명되는 관련 밸브의 동작의 피드백 제어를 가능케 하기 위해 실시간 온도 측정을 제공하는 것이 바람직하다. 아래에서 설명되는 바와 같이, 제어기 (300) 는 액체 소스 (110, 120 및 130), 열 전달 가스 소스 (210 및 220), 및 관련 밸브의 동작을 자동으로 제어하도록 프로그램되거나 수동으로 작동가능할 수 있다.
도 6 은 기판 지지체의 액체 공급 시스템 (400) 의 또 다른 바람직한 실시형태를 도시한 것이다. 액체 공급 시스템 (400) 은 냉각기, 열 교환기 등과 같은 액체 소스 (140), 및 액체 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 로/로부터 유체 전달을 제공하는 공급 라인 (142) 과 복귀 라인 (144) 을 포함한다. 다른 방법으로, 액체 소스 (140) 는, 각각의 액체 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 와 동작적으로 각각 연관되는 별도의 냉각기, 열 교환기 등과 같은 복수의 소스를 포함할 수 있다. 또한, 액체 공급 시스템 (400) 은 적절한 유체 펌프 배열을 포함할 수 있다.
액체 공급 시스템 (400) 에서의 액체 흐름 통로로/로부터 액체의 분배의 제어를 제공하기 위하여, 하나 이상의 밸브가 액체 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 와 동작적으로 연관되는 것이 바람직하다. 예를 들어, 밸브 (150 및 152) 는 액체 흐름 통로 (80) 와 동작적으로 연관되는 것 이 바람직하며, 밸브 (154 및 156) 는 액체 흐름 통로 (82) 와 동작적으로 연관되는 것이 바람직하며, 밸브 (158 및 160) 는 액체 흐름 통로 (84) 와 동작적으로 연관되는 것이 바람직하다.
밸브 (152, 156 및 160) 는 액체 흐름 통로 (80, 82 및 84) 를 통하여 액체의 다양한 흐름 패턴을 제공하도록 동작가능한 것이 바람직하다. 밸브 (152, 156 및 160) 및 액체 소스 (140) 는 제어기 (300) 에 의해 제어되는 것이 바람직하다. 바람직한 실시형태에서, 액체는 냉각제 흐름 통로 (80, 82 및 84) 를 통하여 방향 A 로 순차적으로 분배된다. 예를 들어, 밸브 (152, 156 및 160) 는 액체 흐름 통로 (80, 82 및 84) 를 통해 이 순서로 액체를 순차적으로 흐르도록 작동될 수 있다. 이러한 순차적인 흐름을 달성하기 위하여, 액체는 먼저 액체 소스 (140) 로부터 공급 라인 (142) 및 공급 라인 (112) 을 경유하여 액체 흐름 통로 (80) 에 분배되며, 밸브 (156 및 160) 는 닫힌다. 다음으로, 액체 흐름 통로 (82) 에 액체를 분배하기 위하여, 밸브 (156) 가 열리고 밸브 (160) 는 닫힌다.
액체 흐름 통로 (80 및 82) 를 통하여 액체가 동시에 흐를 것을 원하지 않으면, 액체 흐름 통로 (80) 를 통한 흐름을 종료시키도록 밸브 (152) 가 닫힐 수 있다. 또한, 감소된 흐름 속도지만, 액체 흐름 통로 (82) 를 통해 액체가 흐를 때, 액체 흐름 통로 (80) 를 통한 액체의 흐름을 계속하길 원하면, 밸브 (152) 는 부분적으로 닫혀, 액체 흐름 통로 (80) 를 통한 흐름을 감소시킬 수 있다. 또한, 액체 흐름 통로 (84) 에 액체를 분배하기 위해서는, 밸브 (160) 가 열린다. 액체 흐름 통로 (80) 및/또는 액체 흐름 통로 (82) 및 액체 흐름 통로 (84) 를 통하여 액체가 동시에 흐를 것을 원하지 않으면, 액체 흐름 통로 (80) 및/또는 액체 흐름 통로 (82) 를 통한 흐름을 종료시키도록 밸브 (152) 및/또는 밸브 (156) 가 닫힐 수 있다. 또한, 감소된 흐름 속도지만, 액체 흐름 통로 (84) 를 통해 액체가 흐름과 동시에, 액체 흐름 통로 (80) 및/또는 액체 흐름 통로 (82) 를 통한 액체의 흐름을 계속하길 원하면, 밸브 (152) 및/또는 밸브 (156) 는 부분적으로 닫혀, 액체 흐름 통로 (80) 및/또는 액체 흐름 통로 (82) 를 통한 흐름을 감소시킬 수 있다.
또 다른 바람직한 실시형태에서, 하나 이상의 냉각제 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 는 하나 이상의 넌-바이패스 (non-bypass) 액체 흐름 통로로의 유체의 부피측정 흐름을 증가시키기 위하여 액체에 의해 바이패스될 수 있다. 이러한 실시형태들은 기판 지지체 (40) 의 선택된 부분에서의 온도 조정으로 하여금 노출면 (57) 전반에 걸친 원하는 온도 분배를 달성 및/또는 유지하도록 한다. 액체는 액체 소스 (140) 로부터 공급 라인 (142) 을 경유하여 하나 또는 2 개의 액체 흐름 통로 (80, 82 및 84) 로 분배된다. 예를 들어, 액체는 액체 흐름 통로 (80) 로 분배되고, 그 후, 액체 흐름 통로 (82, 84) 중 하나에만 분배될 수 있거나, 다른 방법으로, 밸브 (156 및 160) 를 열거나/열고 닫음으로써, 복귀 라인 (144) 을 경유하여 액체 소스 (140) 로 복귀될 수 있다. 예를 들어, 액체 흐름 통로 (84) 를 통한 액체 흐름을 원하지만, 또한, 액체 흐름 통로 (82) 를 통한 흐름은 원하질 않으면, 밸브 (160) 는 열리고 밸브 (156) 은 닫힐 수 있다. 만약 액체 흐름 통로 (82, 84) 모두를 바이패스하고, 액체 흐름 통로 (80) 로부터 복귀 라인 (144) 을 경유하여 액체 소스 (140) 로 액체를 직접 복귀시키길 원하면, 밸브 (154 및 158) 은 열리고 밸브 (156 및 160) 는 모두 닫힐 수 있다.
또 다른 바람직한 실시형태에서, 액체 냉각제 공급 시스템 (400) 은 복귀 라인 (144) 으로부터 공급 라인 (142) 으로 역방향 B 로 액체를 분배하도록 작동될 수 있다. 예를 들어, 액체 흐름 통로 (84, 82 및 80) 으로 이 순서로 액체를 순차적으로 분배하거나, 임의의 액체 흐름 통로 (80, 82 및 84) 를 바이패스하길 원하면, 그 액체는 방향 B 로 흐를 수 있으며 밸브 (152, 156 및 160) 는 원하는 액체 분배를 달성하도록 작동될 수 있다.
바람직하게는, (여기서 설명되는 액체 공급 시스템의 다른 실시형태뿐 아니라) 액체 공급 시스템 (400) 은, 액체가 액체 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 를 통해 흐를 때의 양을 변경하도록 동작가능하다. 예를 들어, 액체는, 액체 흐름 통로 (84) 에 의해 영향을 받는 보디 (50) 의 일부에서의 냉각을 개선시키기 위하여, 액체 흐름 통로 (80) 및/또는 액체 흐름 통로 (82) 를 통하는 것 보다 더 오래도록 액체 흐름 통로 (84) 를 통해 흐를 수 있다.
또한, 바람직하게는, (여기서 설명되는 액체 공급 시스템의 다른 실시형태뿐 아니라) 액체 공급 시스템 (400) 은, 각각의 액체 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 를 통한 액체의 상이한 흐름 속도를 제공하도록 동작가능하다. 예를 들어, 액체 흐름 통로 (84) 를 통한 액체의 흐름 속 도를 증가시키기 위하여, 밸브 (152) 및/또는 밸브 (156) 는 부분적으로 또는 완전히 닫혀, 액체 흐름 통로 (80) 및/또는 액체 흐름 통로 (82) 를 감소 또는 종료시킬 수 있다. 또한, 액체 소스 (140) 에 의해 공급되는 액체의 흐름 속도는 부분적으로 또는 완전히 닫혀진 위치에서의 밸브 (152) 및/또는 밸브 (156) 에 의해 증가될 수 있다. 하나 이상의 액체 흐름 통로를 통한 액체 흐름의 감소 및/또는 제거는 그 액체 흐름 통로에 의해 영향을 받는 보디 (50) 의 일부의 가열을 야기하지만, 증가된 액체 흐름을 갖는 액체 흐름 통로에 의해 영향을 받는 보디 (50) 의 그 일부로부터의 열 제거가 증가된다.
또한, 바람직하게는, 액체 흐름 통로 (80, 82 및 84) (또는 액체 흐름 통로 (81, 83, 85, 87, 89)) 에 분배되는 액체의 온도가 제어될 수 있다. 예를 들어, 바람직하게는, 액체는 액체 소스 (140) 로부터 각각의 액체 흐름 통로 (80, 82 및 84) 로 거의 동일한 온도로 공급될 수 있다. 다른 방법으로, 액체는 액체 흐름 통로 (80, 82 및 84) 중 적어도 하나에 상이한 온도로 공급될 수 있는 것이 바람직하다. 예를 들어, 제 1 온도를 갖는 액체는 액체 흐름 통로 (84) 에 공급될 수 있지만, 더 높거나 낮은 제 2 온도를 갖는 액체는 액체 흐름 통로 (80 및 82) 에 공급될 수 있다. 다른 방법으로, 3 개의 상이한 온도를 갖는 액체가 각각의 액체 흐름 통로 (80, 82 및 84) 에 분배될 수 있다.
기판 지지체 (40) 에서의 액체 흐름 통로의 수는 냉각을 제어하기 위해 변경될 수 있다. 예를 들어, 기판 지지체 (40) 는 2 개, 4 개, 5 개 (예를 들어, 도 5) 또는 그 이상과 같은 냉각제 흐름 통로의 다른 개수뿐 아니라, 도 6 에 도시 된 실시형태에서와 같이, 3 개의 액체 흐름 통로를 포함할 수 있다. 예를 들어, 도 6 에 도시되어 있는 기판 지지체 (40) 에서, 액체 흐름 통로의 수는 중간 액체 흐름 통로 (82) 를 제거함으로써 2 개로 감소될 수 있다. 다른 방법으로, 제 4 액체 흐름 통로 (미도시) 가 액체 흐름 통로 (84) 로부터 외측으로 방사상으로 제공되어, 보디 (50) 의 주변부에서의 온도의 제어가 제공될 수 있다.
밸브 (150, 152, 154, 156, 158 및 160) 는 양방향 밸브인 것이 바람직하다. 그러나, 다른 방법으로, 단방향 밸브, 3-방향 밸브 및/또는 다른 적절한 밸브와 같은 다른 타입의 밸브가 액체 공급 시스템 (400) 에서 (그리고, 여기서 설명되는 액체 공급 시스템의 다른 실시형태에서) 이용될 수도 있다. 예를 들어, 역방향 흐름 능력이 요구되지 않으면, 밸브 (150, 152, 154, 156, 158 및 160) 는 단방향 밸브일 수 있다. 다른 방법으로, 액체 공급 시스템 (400) 및 여기서 설명되는 액체 공급 시스템의 다른 실시형태에서 밸브의 수를 감소시키기 위하여, 하나 이상의 3-방향 밸브가 이용될 수 있다. 바람직하게는, 밸브는 그 밸브를 통한 유체 흐름 속도를 제어하도록 동작가능하다.
도 7 은, 액체 소스 (140) 및 냉각제 흐름 통로 (80, 82 및 84) 를 포함하는 액체 공급 시스템 (500) 의 또 다른 바람직한 실시형태를 도시한 것이다. 액체 소스 (140) 는 단일의 냉각기, 열 교환기 등을 포함할 수 있거나 복수의 액체 소스를 포함할 수 있다. 예를 들어, 액체 소스 (140) 는 각각의 액체 흐름 통로 (80, 82 및 84) 와 동작적으로 각각 연관되는 액체 소스를 포함할 수 있다. 다른 방법으로, 아래에서 설명되는 바와 같이, 각각의 액체 소스는 액체 흐름 통로 (80, 82 및 84) 중 2 개 이상과 동작적으로 연관될 수 있다. 또한, 냉각제 공급 시스템 (500) 은 그 동작을 제어하기 위한 제어기 (미도시) 를 포함하는 것이 바람직하다. 또한, 액체 공급 시스템 (500) 은 적절한 유체 펌프 배열을 포함할 수 있다.
액체 흐름 통로 (80, 82 및 84) 는 연관된 공급 라인 (112, 122 및 132) 를 각각 가지며, 연관된 복귀 라인 (114, 124 및 134) 을 각각 가진다. 밸브 (116, 126 및 136) 는 공급 라인 (112, 122 및 132) 내에 각각 제공되며, 밸브 (118, 128 및 138) 는 복귀 라인 (114, 124 및 134) 내에 각각 제공되는 것이 바람직하다. 바이패스 (115 및 125) 는 공급 라인 (112, 122 및 122, 132) 간의 유체 전달을 각각 제공하며, 바이패스 (119 및 129) 는 복귀 라인 (114, 124 및 124, 134) 간의 유체 전달을 각각 제공한다.
바람직하게는, 액체 공급 시스템 (500) 은 액체 흐름 통로 (80, 82 및 84) 를 통한 액체의 상이한 흐름 패턴을 제공하도록 동작가능하다. 예를 들어, 액체는 밸브의 선택적인 동작에 의해 오직 하나, 오직 2 개, 또는 3 개 모두의 액체 흐름 통로 (80, 82 및 84) 에 분배될 수 있다. 예를 들어, 액체 흐름 통로 (80) 에만 액체를 분배하기 위하여, 밸브 (116 및 118) 는 열리고 밸브 (117, 121, 126 및 136) 는 닫힐 수 있다.
액체 흐름 통로 (82) 에만 액체를 분배하기 위하여, 밸브는 다양한 또 다른 구성으로 구성될 수 있다. 예를 들어, 밸브 (126 및 128) 를 제외한 모든 밸브가 닫힐 수 있다. 다른 방법으로, 밸브 (118, 121, 131 및 138) 이 닫히고 밸 브 (116, 117, 126, 128, 127 및 136) 가 열릴 수 있다. 이러한 배열에서, 액체 흐름 통로 (82) 를 통한 액체의 흐름 속도는, 공급 라인 (112 및 132) 으로부터 액체 흐름 통로 (82) 에 분배된 액체에 의해 개선될 수 있다. 다른 방법으로, 밸브 (116 및 117) 또는 밸브 (127, 136) 는, 공급 라인 (112 또는 132) 으로부터 액체 흐름 통로 (82) 와 연관된 공급 라인 (122) 으로의 액체의 분배를 방지하도록 닫힐 수 있다.
액체 흐름 통로 (84) 에 액체를 분배하지 않고 액체 흐름 통로 (80 및 82) 에 액체를 분배하기 위하여, 밸브는 다양한 또 다른 구성으로 구성될 수 있다. 예를 들어, 밸브 (127, 131, 136 및 138) 가 닫히고 밸브 (116, 117, 126, 118, 121 및 128) 가 열릴 수 있다. 이러한 배열에서, 액체는 바이패스 (115 및 119) 를 경유하여 분배될 수 있다. 다른 방법으로, 밸브 (127, 131, 136 및 138) 및 추가적인 밸브 (117 및 121) 가 닫히고 밸브 (116, 126, 118 및 128) 가 열릴 수 있다. 이러한 배열에서, 액체는 바이패스 (115 및 119) 를 통하여 분배되지 않는다.
각각의 액체 흐름 통로 (80, 82 및 84) 에 액체를 분배하기 위하여, 밸브는 다양한 또 다른 구성으로 구성될 수 있다. 예를 들어, 액체가 바이패스 (115, 119, 125 및 129) 를 경유하여 분배되도록 모든 밸브가 열릴 수 있다. 다른 방법으로, 밸브 (117, 121, 127 및 131) 중 하나 이상은, 바이패스 (115, 119, 125 및 129) 중 하나 이상을 통한 액체 흐름을 각각 방지하기 위하여 닫힐 수 있다.
액체는 다양한 일시적인 흐름 패턴으로 액체 흐름 통로 (80, 82 및 84) 에 분배될 수 있다. 예를 들어, 액체는 액체 흐름 통로 (80, 82 및 84) 에 이 순서로, 액체 흐름 통로 (84, 82 및 80) 에 이 순서로, 액체 흐름 통로 (80, 84 및 82) 에 이 순서로, 또는 액체 흐름 통로 (84, 80 및 82) 에 이 순서로 순차적으로 분배될 수 있다.
다른 방법으로, 도 7 에 도시되어 있는 액체 공급 시스템 (500) 에서의 액체 흐름의 방향은 방향 A 로부터 방향 B 로 반전되어, 하나 이상의 공급 라인 (112, 122 및 132) 이 복귀 라인으로서 기능하는 동안에 하나 이상의 복귀 라인 (114, 124 및 134) 이 공급 라인으로서 기능하게 할 수 있다.
바람직하게는, 도 7 에 도시되어 있는 액체 공급 시스템 (500) 은, 액체가 액체 흐름 통로 (80, 82 및 84) 를 통하여 흐를 때의 양을 제어하도록 동작가능하다. 또한, 바람직하게는, 액체 공급 시스템 (500) 은 각각의 액체 흐름 통로 (80, 82 및 84) 를 통한 액체의 상이한 흐름 속도를 제공하도록 동작가능하다. 또한, 바람직하게는, 액체 흐름 통로 (80, 82 및 84) 에 분배되는 액체의 온도는 제어가능하다. 예를 들어, 바람직하게는, 액체는 액체 소스 (140) 로부터 각각의 액체 흐름 통로 (80, 82 및 84) 에 거의 동일한 온도로 공급될 수 있다. 다른 방법으로, 그 액체는 액체 흐름 통로 (80, 82 및 84) 중 적어도 하나에 상이한 온도로 공급될 수 있다.
바람직하게는, 제어기는 액체 소스 (140) 및 밸브 (116, 117, 118, 121, 126, 127, 128, 131, 136 및 138) 의 동작을 제어하여 액체 흐름 통로 (80, 82 및 84) 를 통한 액체 흐름을 제어함으로써, 기판 지지체 (40) 의 노출면 (57) 에서의 온도 분배를 제어하도록 동작가능하다. 또한, 바람직하게는, 제어기는 기판 지지체의 노출면과 그 노출면 상에서 지지되는 기판의 배면측 간의 열 전달 가스의 분배를 제어하도록 동작가능하다.
따라서, 액체 분배의 제어를 복수의 액체 흐름 통로에 제공함으로써, 기판 지지체 (40) 는 그 기판 지지체 상에서 지지되는 기판의 개선된 온도 제어를 제공할 수 있다. 또한, 기판 지지체는 열 전달 가스의 제어된 분배를 제공하는 것이 바람직하다. 기판 지지체는 상이한 프로세스 필요성에 따라 기판 온도 프로파일을 제공할 수 있다. 예를 들어, 기판 지지체는 기판 전반에 걸쳐 균일한 또는 비-균일한 방사상의 온도 분배를 제공할 수 있거나, 다른 방법으로, 다른 원하는 균일한 또는 비-균일한 온도 분배를 제공할 수 있다.
기판 지지체는, 플라즈마 에칭, 물리 기상 증착, 화학 기상 증착 (CVD), 이온 주입 및 레지스트 제거를 포함하여 다양한 플라즈마 처리 동작이 수행되는 플라즈마 처리 장치에서 이용될 수 있다. 플라즈마 처리 동작은 반도체 재료, 유전성 재료 및 금속 재료를 포함하여 다양한 기판 재료에 대하여 수행될 수 있다. 기판 지지체는 그러한 플라즈마 처리 동작 동안에 기판의 개선된 온도 제어를 제공할 수 있다. 또한, 기판 지지체는 다양한 타입의 플라즈마 처리 장치에서 이용될 수 있다.
본 발명은 그 특정 실시형태를 참조하여 상세히 설명되었지만, 당업자는, 첨부된 특허청구범위의 범위를 벗어나지 않고 다양한 변경 및 변형이 가능하며 균등하게 이용될 수 있음을 알 수 있다.

Claims (43)

  1. 플라즈마 처리 장치에 유용한 기판 지지체로서,
    플라즈마 처리 장치의 반응 챔버에서 기판을 지지하는 지지면을 갖는 보디;
    상기 지지면의 제 1 부분의 온도 제어를 제공하도록 상기 보디의 제 1 부분을 통하여 연장되는 제 1 액체 흐름 통로;
    상기 지지면의 제 2 부분의 온도 제어를 제공하도록 상기 보디의 제 2 부분을 통하여 연장되는 제 2 액체 흐름 통로;
    상기 제 1 액체 흐름 통로와 유체 소통하는 제 1 인렛 (inlet);
    상기 제 2 액체 흐름 통로와 유체 소통하는 제 2 인렛;
    상기 제 1 액체 흐름 통로와 유체 소통하는 제 1 아웃렛;
    상기 제 2 액체 흐름 통로와 유체 소통하는 제 2 아웃렛;
    상기 제 1 인렛과 유체 소통하는 제 1 공급 라인;
    상기 제 2 인렛과 유체 소통하는 제 2 공급 라인;
    상기 제 1 아웃렛과 유체 소통하는 제 1 복귀 라인 (return line);
    상기 제 2 아웃렛과 유체 소통하는 제 2 복귀 라인;
    온도 제어된 액체의 적어도 하나의 소스;
    제 1 밸브;
    제 2 밸브;
    제 3 밸브;
    제 4 밸브;
    상기 제 1 공급 라인, 상기 제 2 공급 라인, 상기 제 1 복귀 라인 및 상기 제 2 복귀 라인과 유체 소통하는 공통 라인;
    상기 지지면 상에서 개구하는 적어도 하나의 가스 통로; 및
    상기 가스 통로에 열 전달 가스가 공급될 수 있는 가스 공급 인렛을 구비하며,
    상기 공통 라인은, (i) 상기 온도 제어된 액체의 적어도 하나의 소스로부터 상기 제 1 공급 라인 및 상기 제 2 공급 라인에 액체를 공급하며 (ii) 상기 제 1 복귀 라인 및 상기 제 2 복귀 라인으로부터 액체를 수용하며,
    상기 제 1 밸브는 상기 제 1 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 2 밸브는 상기 제 2 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 3 밸브는 상기 제 1 공급 라인과 상기 제 1 복귀 라인 사이의 상기 공통 라인의 일부를 통한 상기 액체의 흐름을 제어하며,
    상기 제 4 밸브는 상기 제 2 공급 라인과 상기 제 2 복귀 라인 사이의 상기 공통 라인의 일부를 통한 상기 액체의 흐름을 제어하는, 기판 지지체.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서,
    상기 온도 제어된 액체의 적어도 하나의 소스는,
    상기 제 1 공급 라인과 유체 소통하는 온도 제어된 액체의 제 1 소스; 및
    상기 제 2 공급 라인과 유체 소통하는 온도 제어된 액체의 제 2 소스를 구비하는, 기판 지지체.
  6. 제 1 항에 있어서,
    상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 4 밸브를 선택적으로 개폐하도록 동작가능한 제어기를 더 구비하는, 기판 지지체.
  7. 제 5 항에 있어서,
    상기 제 1 밸브, 상기 제 2 밸브, 제 3 밸브 및 제 4 밸브를 선택적으로 개폐하도록 동작가능한 제어기를 더 구비하는, 기판 지지체.
  8. 제 1 항에 있어서,
    상기 지지면은 원형이며,
    상기 제 1 액체 흐름 통로는 상기 지지면에 평행이며 원주 (circumferential) 방향으로 연장되며,
    상기 제 2 액체 흐름 통로는 상기 지지면에 평행이며, 원주 방향으로 연장되며, 상기 제 1 액체 흐름 통로와 동심(同心)인, 기판 지지체.
  9. 제 1 항에 있어서,
    상기 지지면은 원형이며,
    상기 제 1 액체 흐름 통로는 상기 지지면에 평행이며 원주 방향으로 연장되며,
    상기 제 2 액체 흐름 통로는 상기 지지면에 평행이며, 원주 방향으로 연장되며, 상기 제 1 액체 흐름 통로와 비-동심인, 기판 지지체.
  10. 제 1 항에 있어서,
    상기 지지면은 정전 척 (electrostatic chuck) 의 노출면을 포함하는, 기판 지지체.
  11. 제 1 항에 있어서,
    상기 보디는 상기 제 1 액체 흐름 통로와 상기 제 2 액체 흐름 통로 사이에 열 차단부를 포함하는, 기판 지지체
  12. 제 11 항에 있어서,
    상기 열 차단부는 상기 보디로 연장되는 개방 채널을 포함하는, 기판 지지체.
  13. 제 1 항에 있어서,
    상기 지지면의 제 3 부분의 온도 제어를 제공하도록 상기 보디의 제 3 부분을 통하여 연장되는 제 3 액체 흐름 통로; 및
    상기 제 3 액체 흐름 통로와 유체 소통하는 제 3 인렛을 더 구비하는, 기판 지지체.
  14. 제 13 항에 있어서,
    상기 보디는, 상기 제 1 액체 흐름 통로와 제 2 액체 흐름 통로 사이에 제 1 열 차단부를 포함하고, 상기 제 2 액체 흐름 통로와 제 3 액체 흐름 통로 사이에 제 2 열 차단부를 포함하는, 기판 지지체.
  15. 삭제
  16. 삭제
  17. 플라즈마 처리 장치에 유용한 기판 지지체로서,
    플라즈마 처리 장치의 반응 챔버에서 기판을 지지하는 지지면을 갖는 보디;
    상기 지지면의 제 1 부분의 온도 제어를 제공하도록 상기 보디의 제 1 부분을 통하여 연장되는 제 1 액체 흐름 통로;
    상기 지지면의 제 2 부분의 온도 제어를 제공하도록 상기 보디의 제 2 부분을 통하여 연장되는 제 2 액체 흐름 통로;
    상기 제 1 액체 흐름 통로와 유체 소통하는 제 1 인렛 (inlet);
    상기 제 2 액체 흐름 통로와 유체 소통하는 제 2 인렛;
    상기 제 1 액체 흐름 통로와 유체 소통하는 제 1 아웃렛;
    상기 제 2 액체 흐름 통로와 유체 소통하는 제 2 아웃렛;
    상기 제 1 인렛과 유체 소통하는 제 1 공급 라인;
    상기 제 2 인렛과 유체 소통하는 제 2 공급 라인;
    상기 제 1 아웃렛과 유체 소통하는 제 1 복귀 라인 (return line);
    상기 제 2 아웃렛과 유체 소통하는 제 2 복귀 라인;
    온도 제어된 액체의 소스;
    제 1 밸브;
    제 2 밸브;
    제 3 밸브;
    제 4 밸브;
    제 5 밸브;
    제 6 밸브; 및
    상기 제 1 공급 라인, 상기 제 1 복귀 라인, 상기 제 2 공급 라인 및 상기 제 2 복귀 라인과 유체 소통하는 제 1 접속 라인 및 제 2 접속 라인을 구비하며,
    상기 제 1 공급 라인 및 상기 제 2 공급 라인은 상기 온도 제어된 액체의 소스로부터 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로에 액체를 각각 공급하며,
    상기 제 1 접속 라인은 상기 제 1 공급 라인과 상기 제 2 공급 라인 사이에서 연장되며,
    상기 제 2 접속 라인은 상기 제 1 복귀 라인과 상기 제 2 복귀 라인 사이에서 연장되며,
    상기 제 1 밸브는 상기 제 1 공급 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 2 밸브는 상기 제 2 공급 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 3 밸브는 상기 제 1 접속 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 4 밸브는 상기 제 1 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 5 밸브는 상기 제 2 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 6 밸브는 상기 제 2 접속 라인을 통한 상기 액체의 흐름을 제어하는, 기판 지지체.
  18. 제 1 항에 기재된 기판 지지체를 구비하는, 플라즈마 처리 장치.
  19. 플라즈마 처리 장치에서 기판 지지체를 열적으로 제어하는 방법으로서,
    플라즈마 처리 장치의 반응 챔버에서 제 1 항에 기재된 기판 지지체의 지지면 상에 기판을 위치시키는 단계;
    상기 반응 챔버로 프로세스 가스를 유입시키는 단계;
    상기 반응 챔버에서 상기 프로세스 가스로부터 플라즈마를 생성하는 단계;
    상기 기판을 처리하는 단계; 및
    상기 지지면의 제 1 부분 및 제 2 부분의 적어도 하나에서의 온도를 제어하도록, 적어도 하나의 액체 소스로부터, 제 1 인렛을 경유하여 적어도 제 1 액체 흐름 통로에, 또는 제 2 인렛을 경유하여 제 2 액체 흐름 통로에, 또는 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로에 액체를 선택적으로 분배하는 단계를 포함하는, 기판 지지체의 열적 제어 방법.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 플라즈마 처리 장치에서 반도체 기판을 처리하는 방법으로서,
    플라즈마 처리 장치의 반응 챔버에서 지지 보디의 지지면 상의 반도체 기판을 지지하는 단계;
    상기 지지면의 제 1 부분의 온도 제어를 제공하도록, 상기 지지 보디의 제 1 부분을 통하여 연장되는 제 1 액체 흐름 통로에서 액체를 순환시키는 단계;
    상기 지지면의 제 2 부분의 온도 제어를 제공하도록, 상기 지지 보디의 제 2 부분을 통하여 연장되는 제 2 액체 흐름 통로에서 액체를 순환시키는 단계;
    제 1 인렛과 유체 소통하는 제 1 공급 라인을 통하여 액체를 흐르게 하는 단계;
    제 2 인렛과 유체 소통하는 제 2 공급 라인을 통하여 액체를 흐르게 하는 단계;
    제 1 아웃렛과 유체 소통하는 제 1 복귀 라인을 통하여 액체를 흐르게 하는 단계;
    제 2 아웃렛과 유체 소통하는 제 2 복귀 라인을 통하여 액체를 흐르게 하는 단계;
    온도 제어된 액체의 적어도 하나의 소스로부터 액체를 공급하는 단계;
    제 1 밸브, 제 2 밸브, 제 3 밸브 및 제 4 밸브를 포함하는 밸브를 개폐하는 단계; 및
    상기 제 1 공급 라인, 상기 제 2 공급 라인, 상기 제 1 복귀 라인 및 상기 제 2 복귀 라인과 유체 소통하는 공통 라인을 통하여 액체를 흐르게 하는 단계를 포함하며,
    상기 제 1 액체 흐름 통로와 유체 소통하는 상기 제 1 인렛에 액체를 공급하고, 상기 제 1 액체 흐름 통로와 유체 소통하는 상기 제 1 아웃렛으로부터 액체를 흐르게 하고, 상기 제 2 액체 흐름 통로와 유체 소통하는 상기 제 2 인렛에 액체를 공급하고, 상기 제 2 액체 흐름 통로와 유체 소통하는 상기 제 2 아웃렛으로부터 액체를 흐르게 함으로써, 상기 액체가 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로에서 순환되며,
    상기 공통 라인은, 상기 온도 제어된 액체의 적어도 하나의 소스로부터 상기 제 1 공급 라인 및 상기 제 2 공급 라인으로 액체를 공급하며,
    상기 공통 라인은 상기 제 1 복귀 라인 및 상기 제 2 복귀 라인으로부터 액체를 수용하며,
    상기 제 1 밸브는 상기 제 1 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 2 밸브는 상기 제 2 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 3 밸브는 상기 제 1 공급 라인과 상기 제 1 복귀 라인 사이에서 상기 공통 라인의 일부를 통한 상기 액체의 흐름을 제어하며,
    상기 제 4 밸브는 상기 제 2 공급 라인과 상기 제 2 복귀 라인 사이에서 상기 공통 라인의 일부를 통한 상기 액체의 흐름을 제어하는, 반도체 기판의 처리 방법.
  30. 삭제
  31. 삭제
  32. 삭제
  33. 제 29 항에 있어서,
    온도 제어된 액체의 제 1 소스로부터 상기 제 1 공급 라인으로 액체를 흐르게 하는 단계; 및
    온도 제어된 액체의 제 2 소스로부터 상기 제 2 공급 라인으로 액체를 흐르게 하는 단계를 더 포함하는, 반도체 기판의 처리 방법.
  34. 제 33 항에 있어서,
    제어기를 이용하여, 상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 4 밸브를 선택적으로 개폐하는 단계를 더 포함하는, 반도체 기판의 처리 방법.
  35. 제 29 항에 있어서,
    제어기를 이용하여, 상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 4 밸브를 선택적으로 개폐하는 단계를 더 포함하는, 반도체 기판의 처리 방법.
  36. 제 29 항에 있어서,
    상기 지지면은 원형 형상이며,
    상기 제 1 액체 흐름 통로는 상기 지지면에 평행이며 원주 방향으로 연장되며,
    상기 제 2 액체 흐름 통로는 상기 지지면에 평행이며, 원주 방향으로 연장되며, 상기 제 1 액체 흐름 통로와 동심(同心)이며,
    상기 액체는 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로에서 동일한 방향 또는 반대 방향으로 순환되는, 반도체 기판의 처리 방법.
  37. 제 29 항에 있어서,
    상기 지지면은 원형 형상이며,
    상기 제 1 액체 흐름 통로는 상기 지지면에 평행이며 원주 방향으로 연장되며,
    상기 제 2 액체 흐름 통로는 상기 지지면에 평행이며, 원주 방향으로 연장되며, 상기 제 1 액체 흐름 통로와 비-동심이며,
    상기 액체는 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로에서 동일한 방향 또는 반대 방향으로 순환되는, 반도체 기판의 처리 방법.
  38. 제 29 항에 있어서,
    상기 지지면은 정전 척의 노출면을 포함하며,
    상기 반도체 기판은 상기 정전 척에 의해 정전적으로 클램핑 (clamp) 되는, 반도체 기판의 처리 방법.
  39. 제 29 항에 있어서,
    상기 지지 보디는 상기 제 1 액체 흐름 통로와 상기 제 2 액체 흐름 통로 사이에 열 차단부를 포함하며,
    상기 열 차단부는, 상기 지지 보디를 통한 열 전도를 제어하도록 하는 크기를 갖는 개방 채널을 포함하는, 반도체 기판의 처리 방법.
  40. 제 29 항에 있어서,
    상기 지지면의 제 3 부분의 온도 제어를 제공하도록, 상기 지지 보디의 제 3 부분을 통하여 연장되는 제 3 액체 흐름 통로에서 액체를 순환시키는 단계; 및
    상기 제 3 액체 흐름 통로와 유체 소통하는 제 3 인렛으로 액체를 공급하는 단계를 더 포함하는, 반도체 기판의 처리 방법.
  41. 제 29 항에 있어서,
    상기 지지면 상에서 개구하는 적어도 하나의 가스 통로에 열 전달 가스를 공급하는 단계를 더 포함하는, 반도체 기판의 처리 방법.
  42. 삭제
  43. 플라즈마 처리 장치에서 반도체 기판을 처리하는 방법으로서,
    플라즈마 처리 장치의 반응 챔버에서 지지 보디의 지지면 상의 반도체 기판을 지지하는 단계;
    상기 지지면의 제 1 부분의 온도 제어를 제공하도록, 상기 지지 보디의 제 1 부분을 통하여 연장되는 제 1 액체 흐름 통로에서 액체를 순환시키는 단계;
    상기 지지면의 제 2 부분의 온도 제어를 제공하도록, 상기 지지 보디의 제 2 부분을 통하여 연장되는 제 2 액체 흐름 통로에서 액체를 순환시키는 단계;
    제 1 인렛과 유체 소통하는 제 1 공급 라인을 통하여 액체를 흐르게 하는 단계;
    제 2 인렛과 유체 소통하는 제 2 공급 라인을 통하여 액체를 흐르게 하는 단계;
    제 1 아웃렛과 유체 소통하는 제 1 복귀 라인을 통하여 액체를 흐르게 하는 단계;
    제 2 아웃렛과 유체 소통하는 제 2 복귀 라인을 통하여 액체를 흐르게 하는 단계;
    온도 제어된 액체의 소스로부터 액체를 공급하는 단계;
    제 1 밸브, 제 2 밸브, 제 3 밸브, 제 4 밸브, 제 5 밸브, 및 제 6 밸브를 포함하는 밸브를 개폐하는 단계; 및
    상기 제 1 공급 라인, 상기 제 2 공급 라인, 상기 제 1 복귀 라인 및 상기 제 2 복귀 라인과 유체 소통하는 제 1 접속 라인 및 제 2 접속 라인을 통하여 액체를 흐르게 하는 단계를 포함하며,
    상기 제 1 액체 흐름 통로와 유체 소통하는 상기 제 1 인렛에 액체를 공급하고, 상기 제 1 액체 흐름 통로와 유체 소통하는 상기 제 1 아웃렛으로부터 액체를 흐르게 하고, 상기 제 2 액체 흐름 통로와 유체 소통하는 상기 제 2 인렛에 액체를 공급하고, 상기 제 2 액체 흐름 통로와 유체 소통하는 상기 제 2 아웃렛으로부터 액체를 흐르게 함으로써, 상기 액체가 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로에서 순환되며,
    상기 제 1 공급 라인 및 상기 제 2 공급 라인은, 상기 온도 제어된 액체의 소스로부터 상기 제 1 액체 흐름 통로 및 상기 제 2 액체 흐름 통로로 액체를 공급하며,
    상기 제 1 접속 라인은 상기 제 1 공급 라인과 상기 제 2 공급 라인 사이에서 연장되며,
    상기 제 2 접속 라인은 상기 제 1 복귀 라인과 상기 제 2 복귀 라인 사이에서 연장되며,
    상기 제 1 밸브는 상기 제 1 공급 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 2 밸브는 상기 제 2 공급 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 3 밸브는 상기 제 1 접속 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 4 밸브는 상기 제 1 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 5 밸브는 상기 제 2 복귀 라인을 통한 상기 액체의 흐름을 제어하며,
    상기 제 6 밸브는 상기 제 2 접속 라인을 통한 상기 액체의 흐름을 제어하는, 반도체 기판의 처리 방법.
KR1020057018806A 2003-03-31 2004-03-30 온도 제어면을 갖는 기판 지지체 KR101052446B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/401,861 2003-03-31
US10/401,861 US20040187787A1 (en) 2003-03-31 2003-03-31 Substrate support having temperature controlled substrate support surface
PCT/US2004/009626 WO2004093167A2 (en) 2003-03-31 2004-03-30 Substrate support having temperature controlled surface

Publications (2)

Publication Number Publication Date
KR20050118716A KR20050118716A (ko) 2005-12-19
KR101052446B1 true KR101052446B1 (ko) 2011-07-28

Family

ID=32989543

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018806A KR101052446B1 (ko) 2003-03-31 2004-03-30 온도 제어면을 갖는 기판 지지체

Country Status (7)

Country Link
US (1) US20040187787A1 (ko)
EP (1) EP1611601A2 (ko)
JP (1) JP4745961B2 (ko)
KR (1) KR101052446B1 (ko)
CN (1) CN100565787C (ko)
TW (1) TWI333232B (ko)
WO (1) WO2004093167A2 (ko)

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
CN100437966C (zh) * 2005-12-07 2008-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 可分区控温的静电卡盘系统
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100798813B1 (ko) * 2006-07-25 2008-01-28 삼성전자주식회사 정전척 어셈블리 및 정전척 온도 제어방법
JP4721230B2 (ja) * 2006-10-31 2011-07-13 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
KR100840468B1 (ko) * 2006-11-21 2008-06-20 동부일렉트로닉스 주식회사 이온주입기의 웨이퍼 냉각시스템
JP4969259B2 (ja) * 2007-01-31 2012-07-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100905258B1 (ko) * 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
KR101508026B1 (ko) 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US8329260B2 (en) * 2008-03-11 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
JP5210706B2 (ja) * 2008-05-09 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
WO2010090948A1 (en) * 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8410393B2 (en) * 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
NL2006913A (en) * 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102903624B (zh) * 2011-07-29 2015-12-16 无锡华瑛微电子技术有限公司 温控半导体处理装置
KR101240538B1 (ko) * 2011-08-17 2013-03-11 주성엔지니어링(주) 기판 온도조절장치, 이를 포함하는 증착장치, 및 이를 이용한 태양전지 제조방법
SG188036A1 (en) 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
CN102509714B (zh) * 2011-11-18 2014-08-27 中微半导体设备(上海)有限公司 快速控制静电吸盘温度的装置及方法
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
JP5863582B2 (ja) * 2012-07-02 2016-02-16 東京エレクトロン株式会社 プラズマ処理装置、及び温度制御方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
JP6175437B2 (ja) * 2012-07-27 2017-08-02 京セラ株式会社 流路部材およびこれを用いた熱交換器ならびに半導体製造装置
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103074612A (zh) * 2012-12-29 2013-05-01 光达光电设备科技(嘉兴)有限公司 加热装置及化学气相沉积设备
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
CN103972132B (zh) * 2013-01-24 2017-07-11 东京毅力科创株式会社 基板处理装置和载置台
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
CN104282611A (zh) * 2013-07-09 2015-01-14 中微半导体设备(上海)有限公司 一种等离子体处理腔室及其静电夹盘
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
CN103757608B (zh) * 2014-01-22 2016-05-11 清华大学 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103726034B (zh) * 2014-01-22 2017-01-25 清华大学 用于工艺腔室的基台及其控制方法、托盘及其设计方法
US20150228514A1 (en) * 2014-02-12 2015-08-13 Axcelis Technologies, Inc. Multi Fluid Cooling System for Large Temperature Range Chuck
US10079165B2 (en) * 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
JP6018606B2 (ja) * 2014-06-27 2016-11-02 東京エレクトロン株式会社 温度制御可能なステージを含むシステム、半導体製造装置及びステージの温度制御方法
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
KR101754564B1 (ko) 2015-11-12 2017-07-07 세메스 주식회사 기판 처리 장치 및 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10126790B2 (en) * 2016-05-05 2018-11-13 Applied Materials, Inc. Dual loop susceptor temperature control system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
JP2018125461A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
DE102017105947A1 (de) * 2017-03-20 2018-09-20 Aixtron Se Suszeptor für einen CVD-Reaktor
KR102529412B1 (ko) * 2017-03-31 2023-05-04 램 리써치 코포레이션 플렉서블 웨이퍼 온도 제어부를 갖는 정전 척 (electrostatic chuck)
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7133992B2 (ja) * 2018-06-07 2022-09-09 東京エレクトロン株式会社 基板載置台及び基板処理装置
CN110658683A (zh) * 2018-06-28 2020-01-07 上海微电子装备(集团)股份有限公司 晶片承载系统和浸没光刻设备
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7129877B2 (ja) * 2018-10-15 2022-09-02 東京エレクトロン株式会社 温度制御システム及び温度制御方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7199200B2 (ja) * 2018-11-01 2023-01-05 東京エレクトロン株式会社 基板載置台、基板処理装置及び基板処理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102460313B1 (ko) * 2018-12-13 2022-10-28 주식회사 원익아이피에스 기판 처리 장치의 서셉터 및 기판 처리 장치
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
JP7187303B2 (ja) * 2018-12-26 2022-12-12 東京エレクトロン株式会社 温度制御装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20230079804A1 (en) * 2020-01-29 2023-03-16 Lam Research Corporation Wafer chuck with thermal tuning cavity features
KR20210097535A (ko) 2020-01-30 2021-08-09 삼성전자주식회사 웨이퍼 척
US11594401B2 (en) 2020-02-25 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor wafer with wafer chuck having fluid guiding structure
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
JP2022047847A (ja) * 2020-09-14 2022-03-25 株式会社Kelk ウェーハの温度調節装置
JP2021093543A (ja) * 2021-02-26 2021-06-17 東京エレクトロン株式会社 被加工物の処理装置
US20240130082A1 (en) * 2022-10-12 2024-04-18 Applied Materials, Inc. Methods and apparatus for cooling a substrate support

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2536989B2 (ja) * 1991-04-25 1996-09-25 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 半導体ウエハ加工用の液膜界面冷却チャック
WO2001024581A1 (en) * 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361749A (en) * 1980-02-04 1982-11-30 Western Electric Co., Inc. Uniformly cooled plasma etching electrode
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS59136930A (ja) * 1983-01-27 1984-08-06 Toshiba Corp 反応性イオンエツチング装置
JPS59175727A (ja) * 1983-03-26 1984-10-04 Toshiba Corp プラズマエツチング装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS60206050A (ja) * 1984-03-30 1985-10-17 Hitachi Ltd 内部冷却水路を有する部品
FR2606750B2 (fr) * 1986-07-07 1989-03-03 Oreal Recipient comprenant un col et une capsule manoeuvrable avec une seule main
JP2748127B2 (ja) * 1988-09-02 1998-05-06 キヤノン株式会社 ウエハ保持方法
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JP2574899B2 (ja) * 1989-08-30 1997-01-22 株式会社日立製作所 プラズマエッチング装置
JPH03190125A (ja) * 1989-12-19 1991-08-20 Fujitsu Ltd ドライエッチング装置
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JP2751588B2 (ja) * 1990-07-10 1998-05-18 忠弘 大見 液冷式冷却装置
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5226471A (en) * 1991-09-23 1993-07-13 General Electric Company Leak isolating apparatus for liquid cooled electronic units in a coolant circulation system
KR0164618B1 (ko) * 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
JPH05243191A (ja) * 1992-02-26 1993-09-21 Nec Corp ドライエッチング装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
KR100260587B1 (ko) * 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW262566B (ko) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP3297771B2 (ja) * 1993-11-05 2002-07-02 ソニー株式会社 半導体製造装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP2647799B2 (ja) * 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP3600271B2 (ja) * 1994-05-25 2004-12-15 東京エレクトロン株式会社 処理装置
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JPH0936097A (ja) * 1995-07-18 1997-02-07 Sony Corp 温度調整装置
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
US6231776B1 (en) * 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6077357A (en) * 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6138745A (en) * 1997-09-26 2000-10-31 Cvc Products, Inc. Two-stage sealing system for thermally conductive chuck
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
JP2000216140A (ja) * 1999-01-20 2000-08-04 Hitachi Ltd ウエハステ―ジおよびウエハ処理装置
JP2000249440A (ja) * 1999-02-25 2000-09-14 Dainippon Screen Mfg Co Ltd 基板処理装置
US6179921B1 (en) * 1999-04-19 2001-01-30 Applied Materials, Inc. Backside gas delivery system for a semiconductor wafer processing system
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6502590B1 (en) * 2000-08-31 2003-01-07 Koninklijke Philips Electronics N.V. Method and apparatus for flushing x-ray tube heat exchanger
JP2003243490A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6863736B2 (en) * 2002-05-29 2005-03-08 Ibis Technology Corporation Shaft cooling mechanisms

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2536989B2 (ja) * 1991-04-25 1996-09-25 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 半導体ウエハ加工用の液膜界面冷却チャック
WO2001024581A1 (en) * 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater

Also Published As

Publication number Publication date
CN1781181A (zh) 2006-05-31
EP1611601A2 (en) 2006-01-04
WO2004093167A3 (en) 2005-06-09
KR20050118716A (ko) 2005-12-19
WO2004093167A2 (en) 2004-10-28
US20040187787A1 (en) 2004-09-30
TWI333232B (en) 2010-11-11
CN100565787C (zh) 2009-12-02
TW200509182A (en) 2005-03-01
JP2006522452A (ja) 2006-09-28
JP4745961B2 (ja) 2011-08-10

Similar Documents

Publication Publication Date Title
KR101052446B1 (ko) 온도 제어면을 갖는 기판 지지체
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8069817B2 (en) Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8123860B2 (en) Apparatus for cyclical depositing of thin films
US5761023A (en) Substrate support with pressure zones having reduced contact area and temperature feedback
US20100116788A1 (en) Substrate temperature control by using liquid controlled multizone substrate support
EP2088616A2 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20040212947A1 (en) Substrate support having heat transfer system
JPH07507844A (ja) Cvd反応装置
JPH07508132A (ja) 半導体ウェーハを処理する装置
US11244839B2 (en) Plasma processing apparatus
JP2022530213A (ja) 空間的に調節可能なウエハへのrf結合を有する静電チャック
US20060243385A1 (en) Device for producing electroconductive passages in a semiconductor wafer by means of thermomigration
US20230060901A1 (en) Supporting unit and apparatus for treating substrate
TW202114024A (zh) 載置台及基板處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140709

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150707

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160712

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170711

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180711

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 9