JP5346018B2 - プラズマ処理装置用のシャワーヘッド電極アセンブリ - Google Patents

プラズマ処理装置用のシャワーヘッド電極アセンブリ Download PDF

Info

Publication number
JP5346018B2
JP5346018B2 JP2010512154A JP2010512154A JP5346018B2 JP 5346018 B2 JP5346018 B2 JP 5346018B2 JP 2010512154 A JP2010512154 A JP 2010512154A JP 2010512154 A JP2010512154 A JP 2010512154A JP 5346018 B2 JP5346018 B2 JP 5346018B2
Authority
JP
Japan
Prior art keywords
electrode
thermal control
showerhead electrode
control plate
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010512154A
Other languages
English (en)
Other versions
JP2010529691A (ja
Inventor
トーマス, アール. スティーブンソン,
ラ リエラ, アンソニー デ
サウラブ ウラル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2010529691A publication Critical patent/JP2010529691A/ja
Application granted granted Critical
Publication of JP5346018B2 publication Critical patent/JP5346018B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、プラズマ処理装置用のシャワーヘッド電極アセンブリに関する。
半導体材料処理の分野では、例えば、真空処理チャンバを含む半導体材料処理装置を使用して、基板上の様々な材料のエッチングおよび堆積、ならびレジスト剥離などの様々な処理を行う。半導体技術が進化するとともに、トランジスタサイズの減少により、ウェハ処理および処理機器においてさらにより高度な精度、再現性、および清浄度が必要とされる。様々なタイプの機器が、プラズマエッチング、プラズマ化学気相堆積(PECVD)、およびレジスト剥離などのプラズマの使用を必要とする用途を含む半導体処理のために存在する。これらの処理に必要とされるタイプの機器はプラズマチャンバ内に配設され、その環境で機能しなければならない構成要素を含む。プラズマチャンバの内部の環境は、プラズマへの曝露、エッチングガスへの曝露、および熱サイクルを含むことがある。そのような構成要素に使用される材料は、チャンバ内の環境条件に耐え、かつウェハ当たり複数の処理ステップを含むことがある多くのウェハの処理のため同様に耐えるように構成されなければならない。費用効率を高くするために、そのような構成要素は、機能性および清浄度を保持しながら何百または何千ものウェハサイクルにしばしば耐えなければならない。粒子を生成する構成要素にとって、それらの粒子がわずかしかなく、数十ナノメートルよりも大きくない場合でさえ、一般に、許容度は非常に低い。さらに、プラズマ処理チャンバの内部で使用するように選択された構成要素は、最も費用効率の高い方法でこれらの要求を満たすことが必要である。
シャワーヘッド電極アセンブリの例示的実施形態は、真空チャンバの内部に取り付けられ、高周波(RF)エネルギーによって駆動されるように構成されたシャワーヘッド電極と、シャワーヘッド電極に取り付けられたバッキングプレートと、バッキングプレートの全域の複数の接触点で複数の締結具を介してバッキングプレートに取り付けられた熱制御プレートと、接触点でバッキングプレートと熱制御プレートとを分離する少なくとも1つの熱および電気伝導性のガスケットとを含む。
プラズマエッチングを制御する方法の例示的実施形態は、シャワーヘッド電極アセンブリからプラズマエッチングチャンバに処理ガスを供給する工程であって、処理ガスが、シャワーヘッド電極と、半導体基板が支持される下部電極との間の間隙に流れる工程と、シャワーヘッド電極にRF電力を印加し、処理ガスにエネルギーを与えることでプラズマ状態にすることによってプラズマエッチングチャンバ内で半導体基板をエッチングする工程であって、シャワーヘッド電極の温度が、少なくとも1つの熱および電気伝導性のガスケットにより増強された熱伝導を介して熱制御プレートによって制御される工程とを含む。この方法では、シャワーヘッド電極アセンブリの上述の例示的実施形態を使用することができる。
シャワーヘッド電極アセンブリの別の例示的実施形態は、真空チャンバの内部に取り付けられるように構成されたシャワーヘッド電極と、シャワーヘッド電極の全域の複数の接触点でシャワーヘッド電極に取り付けられた熱制御プレートと、接触点で、シャワーヘッド電極と熱制御プレートとを分離し、熱制御プレートに形成された隣接するプレナムを分離する少なくとも1つの熱および電気伝導性のガスケットとを含む。
半導体材料プラズマ処理装置のシャワーヘッド電極アセンブリの例示的実施形態を示す図である。 図1に示されたシャワーヘッド電極アセンブリの一部の拡大図である。 界面ガスケットを含む図2に示されたシャワーヘッド電極アセンブリの一部を示す図である。 界面ガスケットを含む図1に示されたシャワーヘッド電極アセンブリの別の一部の拡大図である。 アルミニウムバッキングプレートの表面と熱制御プレートの表面との間にガスケット材料をもつ真空処理チャンバ内においてこれらの構成要素を使用した後のアルミニウムバッキングプレートの上側表面を示す図である。 アルミニウムバッキングプレートの表面と熱制御プレートの表面との間にガスケット材料をもつ真空処理チャンバ内においてこれらの構成要素を使用した後の熱制御プレートの環状突出部の下側表面を示す図である。 熱制御プレートとバッキングプレートとの間にガスケットがない場合、およびそれらの間にガスケット材料が使用された場合の処理に対するバッキングプレート温度と時間との間の関係を示す図である。 熱制御プレートとバッキングプレートとの間にガスケットがない場合、およびそれらの間にガスケット材料が使用された場合の処理に対するバッキングプレート温度と時間との間の関係を示す図である。 熱制御プレートとバッキングプレートとの間にガスケット材料を備えたアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 熱制御プレートとバッキングプレートとの間にガスケット材料を備えたアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 熱制御プレートとバッキングプレートとの間にガスケット材料を備えたアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 熱制御プレートとバッキングプレートとの間にガスケット材料を備えたアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 ガスケット材料を備えないアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 ガスケット材料を備えないアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 ガスケット材料を備えないアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 ガスケット材料を備えないアルミニウムバッキングプレートを使用することによるエッチングレートプロファイルを示す図である。 別の実施形態によるシャワーヘッド電極アセンブリの一部の拡大図である。 界面ガスケットを含む図11に示されたシャワーヘッド電極アセンブリの一部を示す図である。
シリコンウェハなどの半導体基板用のプラズマ処理装置は、半導体、金属、および誘電体のような材料をエッチングするために半導体デバイス製造処理で使用されるプラズマエッチングチャンバを含む。例えば、誘電体エッチングチャンバは二酸化ケイ素または窒化ケイ素などの材料をエッチングするために使用することができる。エッチング処理中に、エッチングチャンバ内の構成要素は加熱および冷却され、その結果、熱応力を受ける。加熱されたシャワーヘッドアセンブリのうちの盛んに加熱される構成要素では、この温度サイクリングが粒子生成の増加をもたらすことがある。
シャワーヘッド電極が最低温度よりも下に下がらないようにするためにヒーターを有するシャワーヘッド電極アセンブリが、本願の権利者が所有する米国特許出願公開第2005/0133160A1号に説明されており、その開示は参照によりその全体が本明細書に組み込まれる。プラズマエッチングチャンバの上側壁を形成する温度制御された上側プレートによる熱移送の際にヒーターは熱制御プレートと協働する。
図1は、上側電極103および上側電極103に固定されたオプションのバッキング部材102と、熱制御プレート101と、上側プレート111とを含む平行プレート容量結合プラズマチャンバ(真空チャンバ)のシャワーヘッドアセンブリ100の半分を示す。熱チョーク112は熱制御プレート101の上側表面上に設けることができる。上側電極103は、半導体基板162、例えば半導体ウェハを支持する基板サポート160の上方に位置決めされる。
上側プレート111は、プラズマエッチングチャンバなどのプラズマ処理装置の取外し可能な上側壁を形成することができる。図示のように、上側電極103は内部電極部材105およびオプションの外部電極部材107を含むことができる。内部電極部材105は、一般に、単結晶シリコンで製作される。所望であれば、内部電極105および外部電極107は、CVD炭化ケイ素、単結晶シリコン、または他の好適な材料などの単一片の材料で製作することができる。
内部電極部材105は、処理されるべきウェハよりも小さい、それに等しい、またはそれよりも大きい、例えば200mmまでの直径を有することができる。300mmウェハなどのより大きい半導体基板を処理するため、外部電極部材107は約12インチから約19インチまで、例えば約15インチから約17インチまで上側電極103の直径を拡大するように構成される。外部電極部材107は、連続部材(例えば、リングなどのポリシリコンまたは炭化ケイ素部材)、またはセグメント化された部材(例えば、単結晶シリコンのセグメントなどのリング形状に配置された2〜6個の別個のセグメント)とすることができる。上側電極103が複数セグメントの外部電極部材107を含む実施形態では、セグメントは、好ましくは、下にある接合材料をプラズマ曝露から保護するために互いに重なり合う縁部を有する。内部電極部材105は、好ましくは、上側電極103の下方のプラズマ反応チャンバの空間に処理ガスを注入するための複数のガス通路104を含む。外部電極107は、好ましくは、電極103の周囲に高くなった段差を形成する。段差のある電極のさらなる詳細は、本願の権利者が所有する米国特許第6,824,627号に見いだすことができ、その開示は参照により本明細書に組み込まれる。
単結晶シリコンは、内部電極部材105および外部電極部材107のプラズマに曝される表面にとって好ましい材料である。高純度の単結晶シリコンは、反応チャンバ内に最低限の有害元素しか導入せず、さらにプラズマ処理中に滑らかに損耗し、それによって粒子を最小限にするので、プラズマ処理中の基板の汚染を最小化する。
シャワーヘッド電極アセンブリ100は、300mmの直径を有する半導体ウェハなどの大きい基板の処理のために大きさを合わせることができる。300mmのウェハでは、上側電極103は直径が少なくとも300mmである。しかし、シャワーヘッド電極アセンブリは他のウェハサイズまたは非円形形状を有する基板を処理するように大きさを合わせることができる。
バッキング部材102はバッキングプレート106と、随意にバッキングリング108とを含む。そのような構成では、内部電極部材105はバッキングプレート106と同一の広がりをもち、外部電極部材107は周囲のバッキングリング108と同一の広がりをもつ。しかし、単一のバッキングプレートを使用して内部電極部材およびセグメント化された外部電極部材を支持することができるように、または内部電極および外部電極が単一片の材料を含むことができるように、バッキングプレート106は内部電極部材を越えて拡大することができる。内部電極部材105および外部電極部材107は、好ましくは、エラストマ接合材料などの接合材料によってバッキング部材102に取り付けられる。バッキングプレート106は、ガス流をプラズマ処理チャンバに供給するために内部電極部材105のガス通路104に位置合わせされたガス通路113を含む。ガス通路113は、一般に、約0.04インチの直径を有し、ガス通路104は、一般に、約0.025インチの直径を有する。
この実施形態では、バッキングプレート106およびバッキングリング108は、一般にアルミニウム合金材料であるアルミニウム材料で製作される。バッキングプレート106およびバッキングリング108は、裸アルミニウム、すなわち、表面自然酸化物を有する(陽極処理されていない)アルミニウムで製作することができる。
熱応力に適応させ、上側電極103とバッキングプレート106およびバッキングリング108との間で熱エネルギーおよび電気エネルギーを移送する熱および電気伝導性のエラストマ接合材料を用いて、上側電極103をバッキングプレート106およびバッキングリング108に取り付けることができる。代替として、エラストマは熱伝導性であるが、電気伝導性でないことも可能である。電極アセンブリの表面を一緒に接合するためのエラストマの使用が、例えば、本願の権利者が所有する米国特許第6,073,577号に説明されており、それは、その全体が参照により本明細書に組み込まれる。
バッキングプレート106およびバッキングリング108は、好ましくは、ねじ切りボルト、ねじなどとすることができる好適な締結具で熱制御プレート101に取り付けられる。例えば、ボルト(図示せず)を熱制御プレート101の孔に挿入し、バッキング部材102のねじ切り開口にねじ込むことができる。熱制御プレート101は、能動的に制御されるヒーターと熱移送関係にある。例えば、その開示が参照により本明細書に組み込まれる本願の権利者が所有する米国特許出願公開第2005/0133160A1号の図1および2ならびにそれらの説明を参照されたい。熱制御プレート101は屈曲部分109を含み、好ましくは、アルミニウム、アルミニウム合金6061などのアルミニウム合金などのような機械加工された金属材料で製作される。熱制御プレート101は、裸アルミニウム、すなわち、表面自然酸化物を有する(陽極処理されていない)アルミニウムで製作することができる。上側プレート111は、好ましくは、アルミニウムまたはアルミニウム合金6061などのアルミニウム合金で製作される。プラズマ閉じ込めアセンブリ110はシャワーヘッド電極アセンブリ100の外の方に示されている。垂直に調整可能なプラズマ閉じ込めリングアセンブリを含む好適なプラズマ閉じ込めアセンブリが、本願の権利者が所有する米国特許第5,534,751号に説明されており、それは、その全体が参照により本明細書に組み込まれる。
熱制御プレートは、好ましくは、上側電極の温度を制御するために、温度制御された上側プレートと協働するように作動する少なくとも1つのヒーターを含む。例えば、好ましい実施形態では、ヒーターは熱制御プレートの上側表面上に設けられ、第1の突出部によって囲まれた第1のヒーターゾーンと、第1の突出部と第2の突出部との間の第2のヒーターゾーンと、第2の突出部と屈曲部分との間の第3のヒーターゾーンとを含む。ヒーターゾーンの数は変えることができ、例えば、他の実施形態では、ヒーターは単一のヒーターゾーン、2つのヒーターゾーン、または3つを超えるヒーターゾーンを含むことができる。ヒーターは、代替として、熱制御プレートの下側表面上に設けることができる。
ヒーターは、好ましくは、ヒーターによって達せられる動作温度に耐えることができる高分子材料の向かい合った層の間に配設された抵抗加熱材料を含むラミレートを含む。使用することができる例示的な高分子材料は、E.I. du Pont de Nemours and Companyから市販されている商標Kapton(登録商標)で販売されているポリイミドである。代替として、ヒーターは熱制御プレートに埋め込まれた抵抗ヒーター(例えば、キャスト熱制御プレート中の加熱要素または熱制御プレートに形成されたチャネルに配置された加熱要素)とすることができる。ヒーターの別の実施形態は、熱制御プレートの上側表面および/または下側表面上に取り付けられた抵抗加熱要素を含む。熱制御プレートの加熱は伝導および/または放射を介して達成することができる。
ヒーター材料は、第1のヒーターゾーン、第2のヒーターゾーン、および第3のヒーターゾーンの熱的に均一な加熱を可能にする任意の好適なパターンを有することができる。例えば、ラミネートヒーターは、ジグザグ、蛇行、または同心のパターンなどの規則的または非規則的なパターンの抵抗加熱線を有することができる。ヒーターで熱制御プレートを加熱することによって、温度制御された上側プレートの動作と協働して、シャワーヘッド電極アセンブリの動作中、上側電極の全域に望ましい温度分布を与えることができる。
第1のヒーターゾーン、第2のヒーターゾーン、および第3のヒーターゾーンに配置されたヒーター区域は、任意の好適な技法、例えば熱および圧力、接着剤、締結具などの利用によって熱制御プレートに固定することができる。
上側電極は電気的に接地することができ、または、代替として、好ましくは高周波(RF)電流源170によって電力供給することができる。好ましい実施形態では、上側電極は接地され、プラズマ処理チャンバ内にプラズマを発生させるために1つまたは複数の周波数の電力が下部電極に印加される。例えば、下部電極は2つの独立に制御される高周波電力源によって2MHzおよび27MHzの周波数で電力供給され得る。基板が処理された(例えば、半導体基板がプラズマエッチングされた)後、プラズマ発生を終了するため下部電極への電力の供給は止められる。処理された基板はプラズマ処理チャンバから取り出され、別の基板がプラズマ処理のために基板サポートに配置される。好ましい実施形態では、下部電極への電力が止められると、熱制御プレートを加熱し、その結果として上側電極を加熱するようにヒーターは作動される。その結果、上側電極の温度は、好ましくは、所望の最低温度よりも下に低下するのが防止される。誘電体材料のエッチングでは、基板がより均一に処理され、それによって歩留りを改善するように、上側電極の温度は、好ましくは、連続した基板処理の運転の間、150℃から250℃などのほぼ一定温度に維持される。電源は、好ましくは、上側電極の実際の温度と所望の温度とに基づいて所望のレベルおよびレートでヒーターに電力を供給するように制御できる。
例示的な実施形態では、例えば、シャワーヘッド電極の少なくとも一部を少なくとも100℃、少なくとも150℃、または少なくとも180℃に加熱および維持することによって、上側電極を少なくとも約80℃の温度まで加熱することができる。上側電極は、半導体基板のエッチングの前に加熱することができる。エッチングは、半導体基板上の酸化物層に開口をエッチングする工程を含むことができ、その開口はパターン化フォトレジストによって画定される。
プラズマチャンバは、例えば、温度コントローラと、温度コントローラに熱応答して熱制御プレートを加熱するヒーターに電力を供給するように構成された電源と、チャンバの温度制御された上側壁に温度コントローラに応答して流体を供給するように構成された流体制御部と、シャワーヘッド電極の1つまたは複数の部分の温度を測定し、温度コントローラに情報を供給するように構成された温度センサ構成とをさらに含むことができる。
シャワーヘッド電極アセンブリの図示された実施形態は、プラズマチャンバ内に処理ガスを分配するために使用されるアルミニウムバッフルリング構成120をさらに含む。図1のアルミニウムバッフルリング構成120は、アルミニウムまたは6061アルミニウムなどのアルミニウム合金から製作された6つのリングを含み、6061アルミニウムは、重量で、約96から約98%のAl、約0.8から約1.2%のMg、約0.4から0.8%のSi、約0.15から0.4%のCu、約0.04から0.35%のCr、および随意にFe、Mn、Znおよび/またはTiからなる。バッフルリング120は陽極処理された外側表面を有することができる。6つの同心のL形リングは、バッキング部材102の上方および熱制御プレート101の下方のプレナム内に配置される。例えば、中央プレナムは単一のリングを含むことができ、隣接するプレナムは1/2から1インチの間隙だけ隔てられた2つのリングを含むことができ、次に隣接するプレナムは1/2から1インチの間隙だけ隔てられた2つのリングを含むことができ、外側プレナムは単一のリングを含むことができる。リングはねじで熱制御プレート101に取り付けられる。例えば、各リングは、ねじを受け入れるための貫通孔をもつ円周に離間したスタンドオフまたはボスを含むことができ、例えば、離して配置された3つのボスを使用することができる。各リングは、約0.040インチの厚さの横区域と、長さが約1/4インチの垂直フランジとを有することができる。
図2は、シャワーヘッド電極アセンブリ100の一部を示す。図示のように、アルミニウムバッキングプレート102の上側表面134と熱制御プレート101の環状突出部136との間に接触点132がある。熱制御プレート101において、接触点132はバッキングプレート102の表面積の約1%から約30%の範囲にわたる。Oリングなどのシール138は、気密シールを形成するためにアルミニウムバッフルリング120と上側表面134との間のオフセット139に配設される。図示のように、バッフルリング120の垂直壁の上端部は、シム140によって熱制御プレート101の下側表面142から分離される。シム140は、一般に、Kapton(登録商標)などの誘電体材料で製作される。
発明者らは、シャワーヘッド電極アセンブリ100の動作中に、熱制御プレート101と、バッキングプレート106およびバッキングリング108を含むアルミニウムバッキング部材102との間で、それらの間に位置した接触点に沿ってかじりが生じることがあることを確認した。このかじりは熱制御プレート101およびアルミニウムバッキング部材102の両方で生じることがあり、温度サイクリングの結果として、熱制御プレート101およびアルミニウムバッキング部材102の向かい合った表面間で生じる相対運動およびこすりによって引き起こされる。このかじりはいくつかの理由で極めて望ましくない。第1に、かじりは、熱移送の低減、したがって、図示の内側電極部材105を含む上側電極103の温度の変化を引き起こすことがある。この温度変化は、プラズマ処理チャンバ内で半導体基板の処理中に処理変化を引き起こすことがある。
熱制御プレート101およびアルミニウムバッキング部材102のかじりは、さらに、粒子発生を引き起こすことがあり、または熱制御プレート101およびアルミニウムバッキング部材102の融着を引き起こすことがあり、それにより、これらの構成要素を分離するのに過度の力を必要とし、それはこれらの構成要素に損傷をもたらすことがある。
熱制御プレート101およびアルミニウムバッキング部材102のかじりは、さらに、上側電極103の清浄化の困難さを増加させることがある。
その上、熱制御プレート101およびアルミニウムバッキング部材102のかじりはこれらの構成要素の表面的外観を劣化させ、それらの寿命を低減する。
図3および4は、熱制御プレート101ならびにアルミニウムバッキングプレート106およびバッキングリング108のかじりの発生を低減し、その結果、さらに、そのようなかじりに関連した上述の問題を低減する変形を含むシャワーヘッド電極アセンブリの例示的実施形態を示す。特に、図3に示されるように、ガスケット144が、熱制御プレートの環状突出部136の下側表面とアルミニウムバッキングプレート102の上側表面134との間に配置される。ガスケット144は、熱制御プレート101とアルミニウムバッキングプレート106との間に電気および熱伝導を与えるために電気伝導性(電極へのRF経路を設けるために)および熱伝導性である材料で製作される。ガスケット144は電気伝導性の熱界面を与える。ガスケット144は、さらに、内部電極部材105を含む上側電極103と熱制御プレート101との間の熱移送を増強する。さらに図3に示されるように、ガスケット144とほぼ同じ厚さを有するシム146がアルミニウムバッフルリング120と熱制御プレート101の下側表面142との間に配置される。シム146は誘電体材料とすることができる。
熱制御プレート101は、バッキングプレート106の裏面にプレナムを確立するいくつかの環状突出部136、例えば、2個から10個、好ましくは4個から8個の突出部を含む。環状ガスケット144は各環状突出部の接触表面上に配置される。複数の締結具(3個から15個のボルトなど)は、熱制御プレートをバッキングプレートに固定するために環状ガスケットの各々の開口を貫通する。
図4は、バッキングプレート106およびバッキングリング108の一部を示す。図示のように、リング150(例えば石英リング)が、バッキングプレート106とバッキングリング108との間のインターフェイス152に位置決めされる。Oリングなどのシール154は、インターフェイス152でシールを形成するためにリング150に形成された溝156に設けられる。電気および熱伝導性の材料で製作されたシム144が、熱制御プレート101とアルミニウムバッキングリング108との間に設けられる。シム144は内部電極部材105と外部電極部材107との間のシールを保持し、リング150による粒子生成を防止することができる。
接触点によって熱移送を増強することによって、内部電極部材105を含む上側電極103と熱制御プレート101との間の温度差を低減することが可能であり、その結果、「最初のウェハ効果」を一連のウェハの連続処理中低減することもできる。最初のウェハ効果は約0.5℃未満まで低減することができることが好ましい。例えば、半導体基板に高アスペクト比のコンタクトバイアをエッチングするために約2nm/10℃の限界寸法(CD)感受性と共に約1Å(約0.1nm)の繰り返し精度を達成できることが好ましい。
さらに、ガスケット144は、新しいアルミニウムバッキング部材と使い古したアルミニウムバッキング部材との間の温度変化を約5℃未満にまで最小化できることも好ましい。
ガスケット144は、さらに、熱制御プレート101およびアルミニウムバッキング部材102の融着またはかじりを低減または防止することができ、それにより、これらの構成要素は最小の力で互いから分離することができることが好ましい。
例えば、約10から200mTorrの高真空環境でガス放出せず、低い微粒子発生性能を有し、接触点で剪断に適合するように柔軟であり、半導体基板中のライフタイムキラーであるAg、Ni、Cuなどのような金属成分が存在せず、アルミニウムバッキング部材102の清浄中、粒子の発生を最小にすることができる材料でガスケット144は製作されることが好ましい。
ガスケット144は、例えば、伝導性シリコーンアルミホイルサンドイッチガスケット構造体、またはエラストマステンレス鋼サンドイッチガスケット構造体とすることができる。好ましい実施形態では、ガスケット144は、ミネソタ州、チャンハッセンにあるThe Bergquist Companyから入手可能なBergquist Q−Pad II複合材料である。これらの材料は、熱/電気伝導性ゴムで両側を被覆されたアルミニウムを含む。この材料は真空環境に適合する。熱制御プレートの接触表面およびアルミニウムバッキング部材、例えばバッキングプレートの接触表面は各々処理、例えば機械加工によって生じたある程度の粗さを有する。ガスケット材料が接触表面の表面粗さを補償し、接触表面間の熱接触を増強するように接触表面の領域(例えばマイクロボイド)を有効に充填するように、ガスケット材料は十分に柔軟であることも好ましい。
ガスケット材料からのグラファイト発生を最小化するために、ガスケットは脱イオン水を使用して、例えば拭うことなどによって清浄にすることができる。ガスケット材料は、代替として、フルオロエラストマ材料などの好適な被覆材料で被覆することができる。
アルミニウムバッキングプレートの表面と熱制御プレートの表面との間に(接触点で)Q−Pad IIガスケット材料をもつ真空処理チャンバ内においてこれらの構成要素を使用した後のアルミニウムバッキングプレート106の上側表面を図5は示し、熱制御プレート101の環状突出部の下側表面を図6は示す。図示のように、アルミニウムバッキングプレート106の上側表面および環状突出部の下側表面はかじり跡がない。この試験は、高アスペクト比の接触開口をエッチングするために5KWRF電力を用い170℃の温度で行われた。図5および6に示された写真は12RF時間のチャンバ動作の後に撮られた。
図7および8は、Q−Pad II複合材料がアルミニウムバッキングプレートの上側表面と熱制御プレートの環状突出部の下側表面との間に配置された場合、熱的性能を改善し、最初のウェハ効果を最小化することができることを実証している試験結果を示している。図7において、ガスケットがない場合、および異なるガスケット材料がアルミニウムバッキングプレートの上側表面と熱制御プレートの環状突出部の下側表面との間に配置された場合についてバッキングプレート温度と時間との関係が示される。曲線Aは、グラファイトで製作されたバッキングプレートおよびガスケットなしの場合であり、曲線Bは、アルミニウムで製作されたバッキングプレートおよびガスケットなしの場合であり、曲線Cは、アルミニウムで製作されたバッキングプレートおよびQ−Pad II複合ガスケットの場合である。図8において、曲線A、B、およびCは、アルミニウムバッキングプレートおよび使用されたガスケットなしの場合であり、曲線DおよびEは、アルミニウムバッキングプレートおよびQ−Pad II複合ガスケットの場合である。図8において、曲線A、B、Cでは、最初のウェハ効果は3℃であったが、曲線DおよびEでは、最初のウェハ効果は0.5℃未満であった。
図9Aから9Dおよび図10Aから10Dは、熱制御プレートとバッキングプレートとの間にQ−Pad II複合ガスケット材料を備えた(図9Aから9D)、およびガスケット材料を備えない(図10Aから10D)アルミニウムバッキングプレートを使用する処理変化試験でのエッチングレートプロファイルを示す。
図7および8ならびに図9Aから9Dおよび図10Aから10Dに示される試験結果によって実証されているように、Q−Pad II複合ガスケット材料の柔軟特性により、熱制御プレートとバッキングプレートとの間の接触表面での熱接触(熱経路およびRF経路)を増加させることによって性能結果が驚くほど改善された。
図11は、シャワーヘッド電極アセンブリの別の実施形態の一部を示す。図2および3を参照すると、図11に示された実施形態はバッキング部材を含まず、熱制御プレート101が内側電極部材105に直接固定されている。図11に示されたシャワーヘッド電極アセンブリは、図1に示された外側電極部材107のようなオプションの外側電極部材をさらに含むことができる。外側電極部材は複数のセグメントからなるリング形状を有することができる。熱制御プレート101は、締結具および/またはエラストマ接合などの接着接合によるなどの任意の好適な方法で内側電極部材105およびオプションの外側電極部材に直接固定することができる。図11に示されるように、内側電極部材105の上側表面160と熱制御プレート101の環状突出部136との間に接触点158がある。この実施形態では、熱制御プレート101の外側表面は、裸アルミニウムである接触点158の表面を除いて陽極処理することができる。接触点132は、内側電極部材105から熱を取り除くための熱経路と、内側電極部材105を通過するRFパワーのためのRF経路とを備える。Oリングなどのシール138は、気密シールを形成するためにアルミニウムバッフルリング120と上側表面160との間のオフセット139に配設される。バッフルリング120の垂直壁の上端部は、シム140によって熱制御プレート101の下側表面142から分離される。シム140は、一般に、Kapton(登録商標)などの誘電体材料で製作される。
図12は、熱制御プレート101と内側電極部材105(およびさらにオプションの外側電極部材)との間で、それらの間に位置した接触点に沿ってかじりが生じるのを低減し、その結果、粒子生成などのそのようなかじりに関連した問題も低減させるための図11に示されたシャワーヘッド電極アセンブリの変形を示す。例えば、シリコン電極部材では、かじりはシリコン粒子発生およびアルミニウム粒子発生を引き起こすことがある。特に、図12に示されるように、ガスケット144が、熱制御プレート101の環状突出部136の下側表面と内部電極部材105の上側表面160との間に配置される。ガスケット144は、熱制御プレート101に形成されたプレナムの隣接するものを互いから分離する。
ガスケット144は、図3および4に示されたシャワーヘッド電極アセンブリの実施形態に関して上述したガスケット144と同じ材料で製作することができる。ガスケット144の材料は、熱制御プレート101と内側電極部材105(およびオプションの外側電極部材)との間に電気および熱伝導を与えるために電気および熱伝導性であり、すなわち、ガスケット144は接触点間に電気伝導性の熱界面を与える。
さらに図12に示されるように、ガスケット144とほぼ同じ厚さを有するシム146がアルミニウムバッフルリング120と熱制御プレート101の下側表面142との間に配置される。シム146は誘電体材料とすることができる。
本発明がそれの特定の実施形態を参照しながら詳細に説明されたが、添付の特許請求の範囲から逸脱することなく、様々な改変および変更を行うことができ、等価物を使用することができることが当業者には明らかであろう。

Claims (18)

  1. 真空チャンバの内部に取り付けられ、高周波(RF)エネルギーによって駆動されるように構成されたシャワーヘッド電極と、
    前記シャワーヘッド電極に取り付けられたバッキングプレートと、
    前記バッキングプレートの全域の複数の接触点で複数の締結具を介して前記バッキングプレートに取り付けられた熱制御プレートであって、前記複数の接触点が前記熱制御プレートの下側表面上の離間した複数の環状突出部を含む熱制御プレートと、
    前記複数の環状突出部の間のプレナムにそれぞれ配置されたアルミニウム製の複数のバッフルリングと、
    前記複数の接触点で前記バッキングプレートと前記熱制御プレートとを分離する少なくとも1つの熱および電気伝導性のガスケットとを含み、
    前記少なくとも1つの熱および電気伝導性のガスケットが前記複数の環状突出部を覆うように大きさを合わせられた複数の環状ガスケットを含み、
    各バッフルリングが、陽極処理されたアルミニウムで構成され、かつ、前記複数の環状突出部のうちの1つに隣接する垂直壁を含み、前記接触点に隣接する前記垂直壁の下端部に前記垂直壁がオフセットを含み、前記接触点の両側でシールを形成するようにOリングが前記オフセット内に配置される、
    ことを特徴とするシャワーヘッド電極アセンブリ。
  2. 前記熱制御プレートおよび前記バッキングプレートが裸アルミニウムであり、前記ガスケットが金属および高分子材料のラミネートであることを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  3. 前記シャワーヘッド電極が内側電極および外側電極を含み、前記内側電極が単結晶シリコンの円形プレートであり、前記外側電極が単結晶シリコンの複数のセグメントで構成されるリング電極であることを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  4. 前記締結具が前記バッキング部材内にねじ込まれるボルトを含み、前記ガスケットがその中に貫通孔を含み、そこを通って前記ボルトが前記バッキング部材内に入ることを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  5. 前記バッフルリングの前記垂直壁の上端部が、前記熱および電気伝導性のガスケットと同じ厚さを有するシムによって前記熱制御プレートの下側表面から分離されることを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  6. 前記熱制御プレートの上側表面上に熱チョークをさらに含むことを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  7. 前記熱および電気伝導性のガスケットには銀、ニッケル、および銅が存在せず、前記複数の環状突出部が前記バッキングプレートの表面積の1%から30%の範囲にわたることを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  8. 請求項1に記載のシャワーヘッド電極アセンブリを含む真空チャンバであって、
    温度コントローラと、
    前記温度コントローラに熱応答して前記熱制御プレートを加熱するヒーターに電力を供給するように構成された電源と、
    前記チャンバの温度制御された上側壁に前記温度コントローラに応答して流体を供給するように構成された流体制御部と、
    前記シャワーヘッド電極の1つまたは複数の部分の温度を測定し、前記温度コントローラに情報を供給するように構成された温度センサ構成と
    をさらに含み、
    前記真空チャンバの前記上側壁が随意に電気的に接地されることを特徴とする真空チャンバ。
  9. 前記シャワーヘッド電極が、その一方の側にガス出口をもつシリコン電極プレートを含み、その反対側が、裸アルミニウムである前記バッキングプレートにエラストマ接合されることを特徴とする請求項1に記載のシャワーヘッド電極アセンブリ。
  10. 請求項1に記載のシャワーヘッド電極アセンブリからプラズマエッチングチャンバに処理ガスを供給する工程であって、前記処理ガスが、前記シャワーヘッド電極と、半導体基板が支持される下部電極との間の間隙に流れる工程と、
    前記シャワーヘッド電極にRFパワーを印加し、前記処理ガスにエネルギーを与えることでプラズマ状態にすることによって前記プラズマエッチングチャンバ内で半導体基板をエッチングする工程であって、前記シャワーヘッド電極の温度が、前記少なくとも1つの熱および電気伝導性のガスケットにより増強された熱伝導を介して前記熱制御プレートによって制御される工程と
    を含むプラズマエッチングを制御することを特徴とする方法。
  11. 少なくとも80℃の温度で前記シャワーヘッド電極を加熱する工程をさらに含むことを特徴とする請求項10に記載の方法。
  12. 前記シャワーヘッド電極を加熱する工程が、少なくとも100℃の温度に前記シャワーヘッド電極の少なくとも一部を加熱および維持する工程を含むことを特徴とする請求項11に記載の方法。
  13. 前記シャワーヘッド電極を加熱する工程が、少なくとも180℃の温度に前記シャワーヘッド電極の少なくとも一部を加熱および維持する工程を含むことを特徴とする請求項11に記載の方法。
  14. 前記シャワーヘッド電極を加熱する工程が前記半導体基板をエッチングする工程の前に行われ、前記エッチングする工程が前記半導体基板上の酸化物層中にパターン化フォトレジストによって画定された開口をエッチングする工程を含み、前記開口がパターン化フォトレジストによって画定されることを特徴とする請求項10に記載の方法。
  15. 真空チャンバの内部に取り付けられるように構成されたシャワーヘッド電極と、
    前記シャワーヘッド電極の全域の複数の接触点で前記シャワーヘッド電極に取り付けられた熱制御プレートであって、前記複数の接触点が前記熱制御プレートの下側表面上の離間した複数の環状突出部を含む熱制御プレートと、
    前記複数の環状突出部の間のプレナムにそれぞれ配置されたアルミニウム製の複数のバッフルリングと、
    前記複数の接触点で、前記シャワーヘッド電極と前記熱制御プレートとを分離し、前記熱制御プレートの中の前記プレナムを相互に分離する少なくとも1つの熱および電気伝導性のガスケットとを含み、
    前記少なくとも1つの熱および電気伝導性のガスケットが前記複数の環状突出部を覆うように大きさを合わせられた複数の環状ガスケットを含み、
    各バッフルリングが、陽極処理されたアルミニウムで構成され、かつ、前記複数の環状突出部のうちの1つに隣接する垂直壁を含み、前記接触点に隣接する前記垂直壁の下端部に前記垂直壁がオフセットを含み、前記接触点の両側でシールを形成するようにOリングが前記オフセット内に配置される、
    ことを特徴とするシャワーヘッド電極アセンブリ。
  16. 前記複数の環状突出部の表面が前記電極の上側表面の表面積の1%から30%の範囲にわたり、
    前記少なくとも1つのガスケットが前記複数の環状突出部の各々と前記電極の上側表面との間に位置した環状ガスケットを含み、
    銀、ニッケル、および銅が存在しない金属および高分子材料のラミネートから前記ガスケットが構成されることを特徴とする請求項15に記載のシャワーヘッド電極アセンブリ。
  17. 前記シャワーヘッド電極が内側電極および外側電極を含み、前記内側電極が単結晶シリコンの円形プレートであり、前記外側電極が単結晶シリコンの複数のセグメントで構成されるリング電極であり、
    各バッフルリングが、陽極処理されたアルミニウムで構成され、かつ、前記複数の環状突出部のうちの1つに隣接する垂直壁を含み、前記接触点に隣接する前記垂直壁の下端部に前記垂直壁がオフセットを含み、
    前記シャワーヘッド電極アセンブリが、
    前記接触点の両側でシールを形成するように前記オフセット内に配置されたOリングであって、前記バッフルリングの前記垂直壁の上端部が前記熱および電気伝導性のガスケットと同じ厚さを有するシムによって前記熱制御プレートの下側表面から分離されるOリングと、
    前記熱制御プレートの上側表面上の熱チョークと
    をさらに含むことを特徴とする請求項15に記載のシャワーヘッド電極アセンブリ。
  18. 前記熱制御プレートが、裸アルミニウムである接触点を除いて陽極処理された外側表面を有することを特徴とする請求項16に記載のシャワーヘッド電極アセンブリ。
JP2010512154A 2007-06-13 2008-06-05 プラズマ処理装置用のシャワーヘッド電極アセンブリ Active JP5346018B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US92910707P 2007-06-13 2007-06-13
US60/929,107 2007-06-13
US11/896,375 US7862682B2 (en) 2007-06-13 2007-08-31 Showerhead electrode assemblies for plasma processing apparatuses
US11/896,375 2007-08-31
PCT/US2008/007089 WO2008156562A2 (en) 2007-06-13 2008-06-05 Showerhead electrode assemblies for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
JP2010529691A JP2010529691A (ja) 2010-08-26
JP5346018B2 true JP5346018B2 (ja) 2013-11-20

Family

ID=40131234

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010512154A Active JP5346018B2 (ja) 2007-06-13 2008-06-05 プラズマ処理装置用のシャワーヘッド電極アセンブリ

Country Status (7)

Country Link
US (2) US7862682B2 (ja)
JP (1) JP5346018B2 (ja)
KR (1) KR101541202B1 (ja)
CN (1) CN101720363B (ja)
SG (1) SG182197A1 (ja)
TW (1) TWI446403B (ja)
WO (1) WO2008156562A2 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
JP5712741B2 (ja) * 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102198929B1 (ko) 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598249A (en) * 1984-02-29 1986-07-01 Rca Corporation Method using surface photovoltage (SPV) measurements for revealing heavy metal contamination of semiconductor material
JP3113796B2 (ja) * 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
US6092811A (en) * 1996-04-30 2000-07-25 Jamco Products, Llc Hybrid gasket
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Also Published As

Publication number Publication date
WO2008156562A3 (en) 2009-02-05
TWI446403B (zh) 2014-07-21
TW200903576A (en) 2009-01-16
KR20100029830A (ko) 2010-03-17
KR101541202B1 (ko) 2015-07-31
JP2010529691A (ja) 2010-08-26
US7862682B2 (en) 2011-01-04
SG182197A1 (en) 2012-07-30
CN101720363B (zh) 2012-06-20
WO2008156562A2 (en) 2008-12-24
US20110081783A1 (en) 2011-04-07
US20080308228A1 (en) 2008-12-18
CN101720363A (zh) 2010-06-02
US8313665B2 (en) 2012-11-20

Similar Documents

Publication Publication Date Title
JP5346018B2 (ja) プラズマ処理装置用のシャワーヘッド電極アセンブリ
US9899228B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
JP5194125B2 (ja) シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
TWI486101B (zh) 用於一電漿處理設備之元件
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
TWI513374B (zh) 受到溫度控制之熱邊緣環組件
TWI446435B (zh) 具有粒子減低特徵之上電極襯背元件
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
US20070068629A1 (en) Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
WO2020251809A1 (en) Coated o-ring for protecting an electro- static chuck in a plasma processing chamber

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110421

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130719

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130815

R150 Certificate of patent or registration of utility model

Ref document number: 5346018

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250