TWI446403B - 電漿處理設備用之噴淋頭電極組件 - Google Patents

電漿處理設備用之噴淋頭電極組件 Download PDF

Info

Publication number
TWI446403B
TWI446403B TW097121773A TW97121773A TWI446403B TW I446403 B TWI446403 B TW I446403B TW 097121773 A TW097121773 A TW 097121773A TW 97121773 A TW97121773 A TW 97121773A TW I446403 B TWI446403 B TW I446403B
Authority
TW
Taiwan
Prior art keywords
showerhead electrode
thermal control
support plate
electrode
electrode assembly
Prior art date
Application number
TW097121773A
Other languages
English (en)
Other versions
TW200903576A (en
Inventor
Thomas R Stevenson
Anthony De La Llera
Saurabh Ullal
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200903576A publication Critical patent/TW200903576A/zh
Application granted granted Critical
Publication of TWI446403B publication Critical patent/TWI446403B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理設備用之噴淋頭電極組件
本發明係關於噴淋頭電極組件以及使用此種噴淋頭電極組件來處理半導體基板的方法。
在半導體材料處理的領域中,係使用例如包含真空處理室的半導體材料處理設備來執行各種不同的處理,例如在基板上蝕刻以及沉積各種不同的材料、以及光阻剝除。隨著半導體技術逐漸成長,電晶體尺寸的降低在晶圓處理以及處理設備上需要更高的準確度、再現性以及潔淨度。有各種用於包含涉及使用電漿之應用的半導體處理之不同類型的設備,例如電漿蝕刻、電漿增強化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)以及光阻剝除。這些處理所需的設備類型包含配置在電漿室內並且必須在此種環境中運作的元件。位於電漿室內的環境可包含對電漿的曝露、對蝕刻劑氣體的曝露、以及熱循環。用於此種元件的材料必須可經得起腔室內的環境條件,且對於每一晶圓可能包含多重處理步驟之許多晶圓的處理而言亦然。為了成本效益,此種元件通常必須經得起數百或數千次晶圓循環,而同時保持其功能性與潔淨度。即使當微粒極少且不大於數十奈米時,一般而言極難容忍產生這些微粒的元件,因此必須選擇用於電漿處理室內部的元件,以最具成本效益的方式滿足這些需求。
噴淋頭電極組件的示範實施例包含:噴淋頭電極,安裝在真空室的內部,並且藉由無線射頻(RF,radio frequency)能量供應電力;支撐板,附接於噴淋頭電極;熱控制板,在橫越支撐板的多個接觸點處,經由複數個扣結件而附接於支撐板;以及至少一導熱導電襯墊,用以在接觸點處分隔支撐板與熱控制板。
電漿蝕刻控制方法的示範實施例包含:透過噴淋頭電極組件,將處理氣體供應至電漿蝕刻室,處理氣體流入位於噴淋頭電極與於其上支撐半導體基板之下電極之間的間隙;以及藉由將無線射頻電力施加至噴淋頭電極,並且對處理氣體供應能量使其成為電漿態,而蝕刻位於電漿處理室中的半導體基板,其中噴淋頭電極的溫度,係藉由熱控制板透過至少一導熱導電襯墊之增強熱傳導而加以控制。
噴淋頭電極組件的另一示範實施例包含:噴淋頭電極,安裝在真空室的內部;熱控制板,在橫越噴淋頭電極的多個接觸點處,附接於噴淋頭電極;以及至少一導熱導電襯墊,用以在接觸點處分隔噴淋頭電極與熱控制板,以及分隔形成在熱控制板中的鄰接充氣腔。
用於例如矽晶圓之半導體基板的電漿處理設備包含電漿蝕刻室,此蝕刻室用於半導體裝置製造處理,以蝕刻例如半導體、金屬以及介電質的材料。舉例而言,介電蝕刻室可用以蝕刻例如二氧化矽或氮化矽的材料。在蝕刻處理期間,位於蝕刻室內的元件進行加熱與冷卻,而歷經所產生的熱應力。對於受熱噴淋頭組件的主動受熱元件,此種溫度循環會導致增加微粒的產生。
具有加熱器以防止噴淋頭電極下降至最低溫度以下的噴淋頭電極組件被揭露於共同擁有的美國專利申請案第2005/0133160A1號,其揭露內容藉由參考文獻方式合併於此。在與溫度控制頂板的熱傳遞上,此加熱器協同熱控制板,此溫度控制頂板可形成電漿蝕刻室的上壁。
圖1顯示平行板電容耦合式電漿室(真空室)之噴淋頭組件100的一半,此組件包含:上電極103以及固定於上電極103的可選擇支撐構件102、熱控制板101、以及頂板111。熱閘口112可被設置在熱控制板101的上表面上。上電極103係位於基板支座161 的上方,此支撐部用以支撐半導體基板162,例如半導體晶圓。
頂板111能夠形成例如電漿蝕刻室之電漿處理設備的可移除式上壁。如同顯示,上電極103可包含內電極構件105以及可選擇的外電極構件107。內電極構件105典型上係由單晶矽所製成。若有要求時,內與外電極105、107可由例如化學氣相沉積(CVD,chemical vapor deposition)碳化矽、單晶矽或其他適合材料的單片材料所製成。
內電極構件105可具有小於、等於、或大於待處理之晶圓的直徑,例如上至200mm。為了處理例如300mm晶圓的較大半導體基板,外電極構件107將上電極103的直徑從約12吋擴充至約19吋,例如約15吋至約17吋。外電極構件107可為連續構件(例如環狀的多晶矽或碳化矽構件)或分段構件(例如排列成環狀構造的2-6分離段,例如單晶矽段)。在上電極103包含多段外電極構件107的實施例中,這些段較佳係具有相互重疊的邊緣,以保護下層接合材料免於曝露至電漿。內電極構件105較佳係包含多氣體通道104,這些通道用以將處理氣體注入電漿反應室中位於上電極103下方的空間內。外電極107較佳係在電極103的周圍形成升起的階梯。階式電極的進一步細節可在共同擁有之美國專利第6824627號中尋得,其揭露內容藉由參考文獻方式合併於此。
單晶矽為用於內電極構件105與外電極構件107之電漿曝露表面的較佳材料。因為高純度的單晶矽僅將最低量的不期望成分引進反應室內,所以其可在電漿處理期間使基板的污染降至最低,並且亦可在電漿處理期間平穩耐用,藉以將微粒降至最低。
噴淋頭電極組件100之尺寸是為了處理較大的基板而製造,例如具有300mm直徑的半導體晶圓。對於300mm的晶圓,上電極103至少具有300mm的直徑。然而,噴淋頭電極組件之尺寸可依處理其他晶圓尺寸或具有非圓形構造的基板之需要而製造。
支撐構件102包含支撐板106以及選用的支撐環108。在此種構造中,內電極構件105係與支撐板106共同延伸,而外電極構 件107與外圍支撐環108共同延伸。然而,支撐板106可延伸超過內電極構件,以使單一支撐板可用以支撐內電極構件以及分段的外電極構件,或者內電極與外電極可包含單片材料。內電極構件105與外電極構件107較佳係藉由接合材料,而附接於支撐構件102,例如彈性接合材料。支撐板106包含氣體通道113,這些通道與位於內電極構件105中的氣體通道104對正,以將氣體提供進入電漿處理室。氣體通道113典型上可具有約0.04吋的直徑,而氣體通道104典型上可具有約0.025吋的直徑。
在此實施例中,支撐板106與支撐環108係由鋁材料所製成,其典型上為鋁合金材料。支撐板106與支撐環108可由裸鋁所製成,即具有表面原生氧化物(並且未經陽極處理)的鋁。
上電極103能夠以導熱導電的彈性體接合材料,附接於支撐板106以及支撐環108,此接合材料可調節熱應力,並且在上電極103與支撐板106及支撐環108之間傳遞熱與電能。或者,此彈性體可為導熱性,而非導電性。例如,用以將電極組件之表面接合在一起之彈性體的使用被說明於共同擁有之美國專利第6073577號,其內容藉由參考文獻方式合併於此。
支撐板106與支撐環108較佳係以適合的扣結件,附接於熱控制板101,此扣結件可為螺紋螺栓、螺絲等等。舉例而言,螺栓(無圖示)可被插入位於熱控制板101中的孔洞,並且旋入位於支撐構件102中的螺紋開口。熱控制板101與主動控制加熱器具有熱傳遞關係。例如,參考圖1與圖2以及共同擁有之美國專利申請案第2005/0133160A1號的說明,此申請案的內容藉由參考文獻方式合併於此。熱控制板101包含撓曲部分109,並且較佳係由已加工的金屬材料所製成,例如鋁、例如鋁合金6061的鋁合金等等。熱控制板101可由裸鋁所製成,即具有表面原生氧化物(並且未經陽極處理)的鋁。頂板111較佳係由鋁或例如鋁合金6061的鋁合金所製成。電漿圍束組件110被顯示在噴淋頭電極組件100的外面。包含垂直可調電漿圍束環組件的合適電漿圍束組件被說明於共同 擁有之美國專利第5534751號,其內容藉由參考文獻方式合併於此。
熱控制板較佳係包含至少一可與溫度控制頂板協同操作的加熱器,以控制上電極的溫度。舉例而言,在較佳實施例中,加熱器被設置在熱控制板的上表面上,並且包含:第一加熱器區域,被第一凸部所圍繞;第二加熱器區域,位於第一凸部與第二凸部之間;以及第三加熱器區域,位於第二凸部與撓曲部分之間。加熱器區域的數量可加以改變;例如在其他實施例中,加熱器可包含單一個加熱器區域、兩個加熱器區域、或三個以上的加熱器區域。或者加熱器能夠設置在熱控制板的下表面上。
加熱器較佳係包含複層,此複層包含配置在對置之高分子材料層之間的耐熱材料,此高分子材料可經得起因加熱器所到達的操作溫度。可被使用的示範高分子材料為在註冊商標Kapton®之下所販售的聚醯亞胺,其可從E.I. du Pont de Nemours and Company購得。或者,加熱器可為埋設在熱控制板中的電阻式加熱器(例如鑄型熱控制板中的加熱元件,或位於形成在熱控制板內之通道中的加熱元件)。加熱器的另一實施例包含安裝在熱控制板之上及/或下表面上的電阻式加熱元件。熱控制板的加熱可經由傳導及/或輻射而達成。
加熱器材料可具有任何適當的圖案,此圖案可對第一加熱器區域、第二加熱器區域、以及第三加熱器區域提供熱均勻加熱。舉例而言,複層加熱器可具有規則或不規則的電阻加熱線圖案,例如鋸齒形、螺旋形、或同心圖案。藉由以加熱器對熱控制板進行加熱,配合溫度控制頂板的操作,可在噴淋頭電極組件操作期間於整個上電極提供理想的溫度分佈。
位於第一加熱器區域、第二加熱器區域、以及第三加熱器區域中的加熱器區段可藉由任何適當的技術,例如熱與壓力、黏著劑、扣結件等應用,而固定在熱控制板上。
上電極可被電性接地,或者較佳係可藉由無線射頻(RF,radio- frequency)電源170供應電力。在較佳實施例中,上電極被接地,而一個以上頻率的電力施加至下電極,以在電漿處理室中產生電漿。例如,吾人可藉由兩單獨控制無線射頻電源以2 MHz及27 MHz的頻率,而對下電極供應電力。在基板經過處理(例如半導體基板經過電漿蝕刻)之後,停止對下電極的電力供應以結束電漿產生。從電漿處理室移除已處理的基板,並且將另一個基板放置在用於電漿處理的基板載台上。在較佳實施例中,當停止對下電極供應電力時,加熱器會被啟動而依序對熱控制板及上電極進行加熱。因此,較佳係防止上電極溫度降低至期望最低溫度以下。為了蝕刻介電材料,在連續的基板處理之間,較佳係將上電極溫度維持在例如150至250℃的大約固定溫度,俾能使基板被更均勻地處理,藉以改善處理良率。電源較佳係可控制式,以根據電極的實際溫度以及期望溫度,而以期望的強度與速率將電力供應至加熱器。
在示範實施例中,上電極可被加熱到至少約80℃的溫度,例如以至少約100℃、至少約150℃、或至少約180℃的溫度持續加熱噴淋頭電極的至少一部分。吾人可在蝕刻半導體基板之前對上電極進行加熱。此蝕刻可包含蝕刻半導體基板上位於氧化層中的開口,此處的開口係由圖案化的光阻加以界定。
電漿室亦可包含例如:溫度控制器;電源,以熱響應於溫度控制器的方式,將電力供應至用以加熱熱控制板的加熱器;流體控制器,用以響應於溫度控制器而將流體供應至腔室的溫度控制上壁;以及溫度感測器裝置,用以量測噴淋頭電極之一個部分以上的溫度,並且將資訊供應至溫度控制器。
噴淋頭電極組件的示例實施例亦包含鋁檔板環裝置120,其用以將處理氣體分佈在電漿室中。圖1中的鋁檔板環裝置120包含六個由鋁或例如6061鋁合金之鋁合金所製成的環,此鋁合金以重量計包含從約96至約98%的Al、約0.8至約1.2%的Mg、約0.4至約0.8%的Si、約0.15至約0.4%的Cu、約0.04至約0.35%的 Cr、以及可選擇的Fe、Mn、Zn及/或Ti。檔板環120可具有經過陽極處理的外表面。此六個同心的L形環係位於支撐構件102上方以及熱控制板101下方的充氣腔內。舉例來說,中央充氣腔可包含單一個環,鄰接的充氣腔可包含兩個隔開1/2至1吋間隙的環,下一個鄰接的充氣腔可包含兩個隔開1/2至1吋間隙的環,以及外充氣腔可包含單一個環。這些環以螺絲安裝在熱控制板101上。舉例而言,每一個環可包含周圍間隔支座或具有用以容納螺絲之穿孔的凸座,例如可使用三個分開排列的凸座。每一個環可具有約0.040吋厚度的水平區段以及約1/4吋長度的垂直凸緣。
圖2顯示噴淋頭電極組件100的一部分。如同顯示,在鋁支撐板106的上表面134與熱控制板101的環狀凸部136之間存在有接觸點132。在熱控制板101中,接觸點132覆蓋支撐板106約1%至約30%的表面積。例如O形環的密封件138被配置在鋁檔板環120與上表面134之間的支距139中,以形成氣密封。如同顯示,藉由填隙片140使檔板環120的垂直壁上端與熱控制板101的下表面142隔開。填隙片140典型上係由介電材料所製成,例如Kapton®。
本案發明人已確定:在噴淋頭電極組件100的操作期間,在熱控制板101與包含支撐板106與支撐環108的鋁支撐構件102之間,沿著位於其間的接觸點會發生擦傷。此種擦傷會發生在熱控制板101與鋁支撐構件102兩者上,且係由因溫度循環而發生在熱控制板101與鋁支撐構件102的相對表面之間的相對運動與摩擦所引起。就一些理由而言,非常不期望此種擦傷。首先,擦傷會引起熱傳遞的降低,因此導致包含示例之內電極構件105之上電極103的溫度偏移。此種溫度偏移會在半導體基板於電漿處理室中進行處理的期間引起處理偏移。
熱控制板101與鋁支撐構件102的擦傷亦會引起微粒產生,或引起熱控制板101與鋁支撐構件102的熔融,然後需要過度的力量使這些元件分離,此會造成這些元件的損壞。
熱控制板101與鋁支撐構件102的擦傷亦會增加清理上電極103的困難度。
此外,熱控制板101與鋁支撐構件102的擦傷會損害這些元件的表面外觀並且減少其壽命。
圖3與圖4顯示噴淋頭電極組件的示範實施例,其包含下列修改:降低熱控制板101與鋁支撐板106及支撐環108的擦傷發生,並且亦因此減少與此種擦傷相關的上述問題。尤其,如圖3所示,襯墊144係位於熱控制板之環狀凸部136的下表面與鋁支撐板106的上表面134之間。襯墊144係由導電(以對電極提供無線射頻路徑)且導熱的材料所製成,以在熱控制板101與鋁支撐板106之間提供電與熱的傳導。襯墊144可提供導電熱介面。襯墊144亦可增強包含內電極構件105的上電極103與熱控制板101之間的熱傳遞。同樣如圖3所示,具有約相同於襯墊144之厚度的填隙片146係位於鋁檔板環120與熱控制板101的下表面142之間。填隙片146可為介電材料。
熱控制板101包含數個用以在支撐板106之背側建立充氣腔的環狀凸部136,例如2至10個凸部,較佳係4至8個凸部。環狀襯墊144被配置在每一個環狀凸部的接觸表面上方。複數個扣結件(例如3至15個螺栓)穿過位於每一個環狀襯墊中的開口,以將熱控制板固定於支撐板。
圖4顯示支撐板106與支撐環108的一部分。如同顯示,環150(例如石英環)被配置在支撐板106與支撐環108之間的介面152。例如O形環的密封件154被設置在形成於環150中的溝槽156內,以在介面152形成密封。由導電導熱材料所製成的襯墊144被設置在熱控制板101與鋁支撐環108之間。襯墊144可在內電極構件105與外電極構件107之間維持密封,並且防止因為環150而產生的微粒。
藉由增強通過接觸點的熱傳遞,吾人可減少包含內電極構件105的上電極103與熱控制板101之間的溫度差異,以使「第一晶 圓效應」亦可在一系列連貫的晶圓處理期間被降低。較佳係,第一晶圓效應可被降低至小於約0.5℃。舉例而言,為了在半導體基板中蝕刻高縱橫比的接觸介層窗(contact vias),較佳係可達到具有約2nm/10℃之臨界尺寸(CD,critical dimension)靈敏度之大約1Å(大約0.1nm)的再現性。
此外,襯墊144較佳係亦可將新的與使用過的鋁支撐構件之間的溫度偏移降至最低,而小於約5℃。
較佳係,襯墊144亦可降低或防止熱控制板101與鋁支撐構件102的熔融或擦傷,此可使這些元件被以最小力量加以彼此分離。
較佳係,襯墊144係由下列材料所製成:較佳係在例如約10至約200 mTorr的高真空環境中不進行除氣;具有低微粒產生特性;可適用於調節位於接觸點的剪力;不含為半導體基板中之壽命殺手的金屬成分,例如Ag、Ni、Cu等等;以及可在鋁支撐構件102之清理期間將微粒產生降至最低。
襯墊144可例如為具傳導性的矽-鋁箔夾層襯墊結構,或彈性體-不銹鋼來層襯墊結構。在較佳實施例中,襯墊144為Bergquist Q-Pad Ⅱ複合材料,其可從位於Chanhassen, Minnesota的Bergquist Company購得。這些材料包含以導熱/導電橡膠塗佈在兩側的鋁。這些材料係適用於真空環境。熱控制板與例如支撐板之鋁支撐構件的接觸表面,各自具有因為例如加工之處理所引起的某種粗糙度。襯墊材料較佳亦係充分地適用,俾能使其補償接觸表面的表面粗糙度,並且有效地填充接觸表面的區域(例如微孔),以增強接觸表面之間的熱接觸。
為了使自襯墊材料的石墨產生降至最低,襯墊可使用去離子水加以清理,例如藉由擦拭。或者襯墊材料可以適當的塗佈材料加以塗佈,例如氟彈性體材料。
圖5顯示鋁支撐板106的上表面;而圖6顯示在真空處理室中使用(位在接觸點的)這些表面之間具有Q-Pad Ⅱ襯墊材料的這 些元件之後,熱控制板101之環狀凸部的下表面。如所顯示者,鋁支撐板106的上表面以及環狀凸部的下表面並無擦傷痕跡。為了蝕刻高縱橫比的接觸開口,實施以170℃溫度協同5KW無線射頻電力的測試。在腔室操作12無線射頻小時之後,獲得圖5與圖6所示的照片。
圖7與圖8顯示測試結果,其證明當Q-Pad Ⅱ複合材料被放置在鋁支撐板的上表面與熱控制板之環狀凸部的下表面之間時,可改善熱性能並且將第一晶圓效應降至最低。在圖7中,對於沒有襯墊以及不同的襯墊材料,顯示支撐板溫度與處理時間之間的關係,這些不同的襯墊材料係放置在鋁支撐板的上表面與熱控制板之環狀凸部的下表面之間。曲線A係關於由石墨所製成之支撐板與無襯墊之間的關係;曲線B係關於由鋁所製成之支撐板與無襯墊之間的關係;以及曲線C係關於由鋁所製成之支撐板與Q-Pad Ⅱ複合襯墊之門的關係。在圖8中,曲線A、B以及C係關於鋁支撐板與未使用襯墊之間的關係,而曲線D與E係關於鋁支撐板與Q-Pad Ⅱ複合襯墊之間的關係。在圖8中,對於曲線A、B、C而言,第一晶圓效應為3℃,而在曲線D與E中,第一晶圓效應係小於0.5℃。
圖9A至9D以及圖10A至10D顯示製程偏移測試的蝕刻速率輪廓,其係關於在熱控制板與支撐板之間使用具有Q-Pad Ⅱ複合襯墊材料(圖9A至9D)以及不含襯墊材料(圖10A至10D)之鋁支撐板。
如圖7與8、以及圖9A至9D與圖10A至10D所示之測試結果證明,Q-Pad Ⅱ複合襯墊材料之順應特性係藉由增加在熱控制板與支撐板之間的接觸表面(熱與無線射頻路徑)之熱接觸,而出乎意料地改善性能。
圖11顯示噴淋頭電極組件之另一實施例的一部分。參考圖2與圖3,圖11所示之實施例並不包含支撐構件,而熱控制板101被直接固定於內電極構件105。圖11所示之噴淋頭電極組件亦能 夠包含可選擇的外電極構件,例如圖1所示之外電極構件107。外電極構件可具有由複數個節段所組成的環形構造。熱控制板101可以任何適當的方式被直接固定於內電極構件105以及可選擇的外電極構件,例如藉由扣結件及/或黏著劑接合,例如彈性體接合。如圖11所示,在內電極構件105的上表面160與熱控制板101的環狀凸部136之間存在有接觸點158。在此實施例中,除了位在接觸點158的表面之外(此表面為裸鋁),熱控制板101的外表面可經過陽極處理。接觸點158可提供用以從內電極構件105移除熱的熱路徑,以及用以使無線射頻電力通過內電極構件105的無線射頻路徑。例如O形環的密封件138被配置在位於鋁檔板環120與上表面160之間的支距139中,以形成氣密封。藉由填隙片140使檔板環120的垂直壁上端與熱控制板101的下表面142隔開。填隙片140典型上係由介電材料所製成,例如Kapton®。
圖12顯示對於圖11所示之噴淋頭電極組件的修改,以在熱控制板101與內電極構件105(並且與可選擇的外電極構件)之間,降低沿著位於其間之接觸點所發生的擦傷,並且亦因此減少與此種擦傷有關的問題,例如微粒的產生。舉例而言,對於矽電極構件,擦傷會導致矽微粒的產生以及鋁微粒的產生。尤其,如圖12所示,襯墊144係位於熱控制板101之環狀凸部136的下表面與內電極構件105的上表面160之間。襯墊144可將形成在熱控制板101中的鄰接充氣腔彼此分隔。
襯墊144可由相同於上述關於圖3與圖4所示之噴淋頭電極組件實施例的襯墊材料所製成。襯墊144的材料具有導電與導熱性,以在熱控制板101與內電極構件105(以及可選擇的外電極構件)之間提供電與熱的傳導,即襯墊144可在接觸點之間提供導電性熱介面。
同樣如圖12所示,具有約相同於襯墊144之厚度的填隙片146係位於鋁檔板環120與熱控制板101的下表面142之間。填隙片146可為介電材料。
雖然本發明已參考其特定實施例而進行詳細的說明,但熟習本項技藝者可明白在不離開隨附請求項之範圍的情況下,可進行各種不同的變化與修改,以及利用等效設計。
100‧‧‧噴淋頭電極組件
101‧‧‧熱控制板
102‧‧‧支撐構件
103‧‧‧上電極
104‧‧‧氣體通道
105‧‧‧內電極構件
106‧‧‧支撐板
107‧‧‧外電極構件
108‧‧‧支撐環
109‧‧‧撓曲部分
110‧‧‧電漿圍束組件
111‧‧‧頂板
112‧‧‧熱閘口
113‧‧‧氣體通道
120‧‧‧檔板環
132‧‧‧接觸點
134‧‧‧上表面
136‧‧‧環狀凸部
138‧‧‧密封件
139‧‧‧支距
140‧‧‧填隙片
142‧‧‧下表面
144‧‧‧襯墊
146‧‧‧填隙片
150‧‧‧環
152‧‧‧介面
154‧‧‧密封件
156‧‧‧溝槽
158‧‧‧接觸點
160‧‧‧上表面
161‧‧‧基板載台
162‧‧‧半導體基板
170‧‧‧無線射頻電源
圖1顯示半導體材料電漿處理設備之噴淋頭電極組件的示範實施例;圖2係圖1所示之噴淋頭電極組件之一部分的放大圖;圖3顯示圖2所示之包含介面襯墊之噴淋頭電極組件的部分;圖4係圖1所示之包含介面襯墊之噴淋頭電極組件之另一部分的放大圖;圖5顯示鋁支撐板的上表面,而圖6顯示在真空處理室中使用位於表面之間具有襯墊材料的元件之後,熱控制板之環狀凸部的下表面;圖7與圖8顯示在熱控制板與支撐板之間使用及不使用襯墊材料時,支撐板溫度與處理時間之間的關係;圖9A至9D以及圖10A至10D顯示使用熱控制板與支撐板之間具有襯墊材料(圖9A至9D)以及無襯墊材料(圖10A至10D)之鋁支撐板的蝕刻速率分佈;圖11係依照另一實施例之噴淋頭電極組件之一部分的放大圖;及圖12顯示圖11所示之包含介面襯墊之噴淋頭電極組件的部分。
101‧‧‧熱控制板
105‧‧‧內電極構件
106‧‧‧支撐板
120‧‧‧檔板環
134‧‧‧上表面
136‧‧‧環狀凸部
138‧‧‧密封件
139‧‧‧支距
142‧‧‧下表面
144‧‧‧襯墊
146‧‧‧填隙片

Claims (16)

  1. 一種噴淋頭電極組件,包含:一噴淋頭電極,安裝在一真空室的內部,並且藉由無線射頻(RF,radio frequency)能量供應電力;一支撐板,附接於該噴淋頭電極;一熱控制板,在橫越該支撐板的多個接觸點處,經由複數個扣結件而附接於該支撐板,該等接觸點包含位於該熱控制板之下表面上的間隔環狀凸部;陽極處理鋁的複數檔板環,該等檔板環係位於該等環狀凸部之間的充氣腔中,各檔板環包含一水平區段和一鄰接於該等環狀凸部其中一者的垂直壁;及至少一導熱導電襯墊,用以在該等接觸點處分隔該支撐板與該熱控制板。
  2. 如申請專利範圍第1項之噴淋頭電極組件,其中該至少一導熱導電襯墊包含依尺寸製作成可覆蓋該等環狀凸部的複數個環狀襯墊。
  3. 如申請專利範圍第1項之噴淋頭電極組件,其中該等檔板環之垂直壁包含在其下端上鄰接於該等接觸點的支距(offsets),該噴淋頭電極組件更包含位於該等支距中的複數O形環,以在該等接觸點的相對側形成密封。
  4. 如申請專利範圍第1項之噴淋頭電極組件,其中該熱控制板與該支撐板係由裸鋁所製成,而該襯墊為金屬與高分子材料的複層(laminate)。
  5. 如申請專利範圍第1項之噴淋頭電極組件,其中該噴淋頭電極包含一內電極以及一外電極,以及該內電極為單晶矽的一圓形板, 而該外電極為一由複數段單晶矽所組成的環電極。
  6. 如申請專利範圍第1項之噴淋頭電極組件,其中該等扣結件包含旋入該支撐板的螺栓,該等襯墊包含位於其中的穿孔,該等螺栓透過該等穿孔而穿入該支撐板內。
  7. 如申請專利範圍第1項之噴淋頭電極組件,更包含位於該熱控制板之上表面上的熱閘口。
  8. 如申請專利範圍第1項之噴淋頭電極組件,其中該導熱導電襯墊不含銀、鎳以及銅,而該等接觸點覆蓋該支撐板約1%至約30%的表面積。
  9. 如申請專利範圍第1項之噴淋頭電極組件,其中該噴淋頭電極包含一矽電極板,其一側上具有氣體出口,而其相對側有黏合至由裸鋁所組成的該支撐板之彈性體。
  10. 一種真空室,包含如申請專利範圍第1項之該噴淋頭電極組件,該真空室更包含:一溫度控制器;一電源,用以將電力供應至一加熱器,該加熱器係熱回應於該溫度控制器而加熱該熱控制板;一流體控制器,回應該溫度控制器而將流體供應至該真空室之一溫度控制上壁;及一溫度感測器裝置,用以量測該噴淋頭電極之一個部分以上的溫度,並且將資訊供應至該溫度控制器,其中使該真空室的該上壁選擇性電性接地。
  11. 一種噴淋頭電極組件,包含: 一噴淋頭電極,安裝在一真空室的內部,並且藉由無線射頻(RF,radio frequency)能量供應電力;一支撐板,附接於該噴淋頭電極;一熱控制板,在橫越該支撐板的多個接觸點處,經由複數個扣結件而附接於該支撐板,該等接觸點包含位於該熱控制板之下表面上的間隔環狀凸部;至少一導熱導電襯墊,用以在該等接觸點處分隔該支撐板與該熱控制板,該至少一導熱導電襯墊包含依尺寸製作成可覆蓋該等環狀凸部的複數個環狀襯墊;陽極處理鋁的複數檔板環,該等檔板環係位於該等環狀凸部之間的充氣腔中,各檔板環包含一鄰接於該等環狀凸部其中一者的垂直壁,該垂直壁包含在其下端上鄰接於該等接觸點的支距(offsets);及位於該等支距中的複數O形環,以在該等接觸點的相對側形成密封;其中該等檔板環之垂直壁的上端係藉由具有與該等導熱導電襯墊約相同厚度的填隙片而與該熱控制板的下表面隔開。
  12. 一種控制電漿蝕刻的方法,包含:透過如申請專利範圍第1項之該噴淋頭電極組件,將處理氣體供應至一電漿蝕刻室,該處理氣體流入位於該噴淋頭電極與於其上支撐一半導體基板之一下電極之間的一間隙;及藉由將無線射頻電力施加至該噴淋頭電極,並且對該處理氣體供應能量使其成為電漿態,而蝕刻位於該電漿蝕刻室中的該半導體基板,其中該噴淋頭電極的溫度,係藉由該熱控制板透過該至少一導熱導電襯墊之增強熱傳導而加以控制。
  13. 如申請專利範圍第12項之控制電漿蝕刻的方法,更包含加熱該噴淋頭電極於至少約80℃的溫度。
  14. 如申請專利範圍第13項之控制電漿蝕刻的方法,其中加熱該噴淋頭電極的步驟包含加熱並維持該噴淋頭電極的至少一部分於至少約100℃的溫度。
  15. 如申請專利範圍第13項之控制電漿蝕刻的方法,其中加熱該噴淋頭電極的步驟包含加熱並維持該噴淋頭電極的至少一部分於至少約180℃的溫度。
  16. 如申請專利範圍第12項之控制電漿蝕刻的方法,其中加熱該噴淋頭電極的步驟係在蝕刻該半導體基板之前進行,而該蝕刻步驟包含對該半導體基板上由一氧化層中之一圖案化光阻所界定的開口進行蝕刻。
TW097121773A 2007-06-13 2008-06-11 電漿處理設備用之噴淋頭電極組件 TWI446403B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US92910707P 2007-06-13 2007-06-13
US11/896,375 US7862682B2 (en) 2007-06-13 2007-08-31 Showerhead electrode assemblies for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
TW200903576A TW200903576A (en) 2009-01-16
TWI446403B true TWI446403B (zh) 2014-07-21

Family

ID=40131234

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097121773A TWI446403B (zh) 2007-06-13 2008-06-11 電漿處理設備用之噴淋頭電極組件

Country Status (7)

Country Link
US (2) US7862682B2 (zh)
JP (1) JP5346018B2 (zh)
KR (1) KR101541202B1 (zh)
CN (1) CN101720363B (zh)
SG (1) SG182197A1 (zh)
TW (1) TWI446403B (zh)
WO (1) WO2008156562A2 (zh)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5567494B2 (ja) * 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010014805U1 (de) 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5712741B2 (ja) * 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102198929B1 (ko) 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4598249A (en) * 1984-02-29 1986-07-01 Rca Corporation Method using surface photovoltage (SPV) measurements for revealing heavy metal contamination of semiconductor material
JP3113796B2 (ja) * 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
US6092811A (en) * 1996-04-30 2000-07-25 Jamco Products, Llc Hybrid gasket
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Also Published As

Publication number Publication date
KR20100029830A (ko) 2010-03-17
SG182197A1 (en) 2012-07-30
US20110081783A1 (en) 2011-04-07
US7862682B2 (en) 2011-01-04
US20080308228A1 (en) 2008-12-18
US8313665B2 (en) 2012-11-20
TW200903576A (en) 2009-01-16
KR101541202B1 (ko) 2015-07-31
JP2010529691A (ja) 2010-08-26
JP5346018B2 (ja) 2013-11-20
WO2008156562A2 (en) 2008-12-24
CN101720363A (zh) 2010-06-02
CN101720363B (zh) 2012-06-20
WO2008156562A3 (en) 2009-02-05

Similar Documents

Publication Publication Date Title
TWI446403B (zh) 電漿處理設備用之噴淋頭電極組件
JP5560267B2 (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ、真空チャンバ、及び、プラズマエッチングを制御する方法
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
TWI513374B (zh) 受到溫度控制之熱邊緣環組件
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
JP5194125B2 (ja) シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
KR100907848B1 (ko) 고온 정전기 척
US20140148013A1 (en) Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20020007795A1 (en) Temperature control system for plasma processing apparatus
KR20100016083A (ko) 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
WO2008048543A1 (en) Upper electrode backing member with particle reducing features
JP2023055713A (ja) 粒子発生を低減するためのガスディフューザー取付板