KR100907848B1 - 고온 정전기 척 - Google Patents

고온 정전기 척 Download PDF

Info

Publication number
KR100907848B1
KR100907848B1 KR1020037017080A KR20037017080A KR100907848B1 KR 100907848 B1 KR100907848 B1 KR 100907848B1 KR 1020037017080 A KR1020037017080 A KR 1020037017080A KR 20037017080 A KR20037017080 A KR 20037017080A KR 100907848 B1 KR100907848 B1 KR 100907848B1
Authority
KR
South Korea
Prior art keywords
chuck body
chuck
heat transfer
substrate
expansion assembly
Prior art date
Application number
KR1020037017080A
Other languages
English (en)
Other versions
KR20040015763A (ko
Inventor
섹스턴그레그
쇼에프알란
케나드마크알렌
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20040015763A publication Critical patent/KR20040015763A/ko
Application granted granted Critical
Publication of KR100907848B1 publication Critical patent/KR100907848B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Abstract

고온에서 사용하기에 적합한 정전기 척은, 척 본체 및 열 전달 본체 사이에서 외부 관형상부 및 열 초크로서 기능하는 교체가능한 팽창 조립체(expansion assembly)를 구비한다. 팽창 조립체는, 척 본체 및 열 전달 본체 사이의 차등적인 열 응력들을 수용하며 및/또는 척 본체 및 열 전달 본체로의 직접적인 열 전도를 제한한다. 200℃를 상회하는 온도에서 척을 작동시킬 수 있는 능력은, 척이 일상적인 플라즈마 에칭, 화학기상증착, 스퍼터링(sputtering), 이온 주입, 애슁(ashing) 등 뿐만 아니라 저휘발성 에칭 산출물들을 휘발시키기 위해 고온을 필요로 하는, 백금과 같은, 재료들의 플라즈마 에칭에 사용되는 것을 허용한다. 착탈가능하게 부착된 팽창 조립체의 새로운 설계에 의해 척은 보다 큰 작업소재들에 맞도록 크기를 조절할 수 있으며, 더 많은 가열 주기들에 걸쳐 사용될 수 있고, 경제적으로 서비스될 수 있다.

Description

고온 정전기 척{HIGH TEMPERATURE ELECTROSTATIC CHUCK}
본 발명은 반도체 웨이퍼들과 같은 기판들을 처리하는데 유용한 정전기 척(ESC; electrostatic chuck)에 관한 것이다. 정전기 척(ESC)은, 에칭(etching) 또는 증착(deposition) 공정들이 수행되는 플라즈마 반응 챔버 안에서 반도체 기판을 지지하기 위하여 사용될 수 있다. 정전기 척(ESC)은, 낮은 온도에서는 휘발성이 아닌 백금 같은 재료들을 고온 플라즈마 에칭하는 데 특히 유용하다.
진공 처리 챔버들은, 에칭 혹은 증착 가스를 진공 챔버에 공급하고 고주파(RF) 전계를 가스에 인가하여 가스를 플라즈마 상태로 활성화시킴으로써 기판들 위의 재료들을 에칭 및 화학기상증착(CVD)하기 위하여 일반적으로 사용된다. 평행 판의 예들, 유도 결합 플라즈마(ICP; inductively coupled plasma)라고도 불리는 트랜스 결합 플라즈마(TCP; transformer coupled plasma), 및 전자 사이클로트론 공명(ECR) 반응기들이, 본원과 동일한 출원인에 의한 미국특허 제4,340,462호, 제4,948,458호 및 제5,200,232호에 개시되어 있다. 진공 처리 챔버들은, 그 안에서 수행될 공정에 의존하는 성능 사양들을 충족하도록 전형적으로 설계된다. 따라서, 특정 플라즈마 발생 소스(source), 진공 펌핑 장치 및 특정 처리 챔버에 관련된 기판 지지부는 그 성능 사양들을 충족시키도록 주문생산되거나 특별히 설계되어야 한다.
기판들은, 기판 홀더들에 의해 처리 중에 진공 챔버 안에서 전형적으로 소정 위치에 위치하게 된다. 종래의 기판 홀더들은 기계적 클램프들 및 정전기 클램프들(ESC)을 포함한다. 기계적 클램프들과, 정전기 척(ESC) 기판 홀더들의 예들은, 본원과 동일한 출원인에 의한 미국특허 제5,262,029호, 제5,880,922호 및 제5,671,116호에 제공되어 있다. 전극 형태의 기판 홀더들은 미국특허 제4,579,618호에 개시된 바와 같이 고주파(RF) 전력을 챔버에 공급할 수 있다. 단극형(monopolar type)의 정전기 척들은 하나의 전극을 이용한다. 예를 들어, 미국특허 제4,665,463호를 참조한다. 쌍극형(bipolar type)의 정전기 척들은, 유전체 층(dielectric layer)에 의해 분리된 두 개의 전기적으로 하전된 전극들 사이의 상호 인력을 이용한다. 예를 들어, 미국특허 제4,692,836호 및 제5,055,964호를 참조한다.
평판 디스플레이 장치들(flat panel displays)을 포함한 기판들과 보다 작은 기판들은, 어떤 공정 단계들 동안에 기판 홀더에 의해 냉각될 수 있다. 그러한 냉각은 헬륨과 같은 가스를 기판 홀더와 그 기판의 반대면 사이로 공급함으로써 수행된다. 예를 들어, 미국특허 제5,160,152호, 제5,238,499호, 제5,350,479호 및 제5,534,816호를 참고한다. 냉각 가스는 기판 홀더 안의 채널들 또는 어떤 패턴의 그루브들(grooves)에 전형적으로 공급되며 그 기판에 후위 압력을 가한다.
진공 처리 챔버들을 위한 기판 지지부들은 그 챔버의 바닥벽에 전형적으로 장착되어 기판 지지부의 수리 및 교체를 어렵게 하고 시간이 들게 된다. 바닥에 장착된 그러한 기판 지지부들의 예들은 미국특허 제4,340,462호, 제4,534,816호, 제4,579,618호, 제4,615,755호, 제4,948,458호, 제5,200,232호 및 제5,262,029호에서 발견될 수 있다. 외팔보(cantilevered)의 지지부 장치는, 본원과 동일한 출원인에 의한 미국특허 제5,820,723호 및 제5,948,704호에 개시되어 있다.
클램핑 전극들 및 히터 소자들을 구비한 고온 정전기 척들은 화학 증착 챔버들에 사용되기 위해 제안되어 왔다. 예를 들어, 미국특허 제5,730,803호, 제5,867,359호, 제5,908,334호 및 제5,968,273호, 그리고 유럽특허공보 제628644 A2호를 참고한다. 이들 중에서 유럽특허공보 제628644호에는, 그물을 형성하도록 구멍들이 천공되어 있는 고주파(RF) 금속 전극판을 가지고 그 안에 히터가 내재되어 있는 알루미늄 질화물 척 본체가 개시되어 있으며, 여기서 척 본체는 알루미나 실린더상에 지지되어 척 본체의 외측 주변부(outer periphery)가 그 실린더보다 더 연장된다. 미국특허 제5,730,803호에는 몰리브덴(Mo), 텅스텐(W), 텅스텐-몰리브덴(W-Mo) 및 몰리브덴 히터 코일선의 전기적 그리드가 그 안에 내재된 규소 질화물 또는 알루미나의 척 본체가 개시되어 있으며, 척 본체는, 척 본체와 냉각 판 사이에서 차등적 팽창(differential expansion)을 허용하는 열 그리스(thermal grease)에 의해 척 본체와 열적으로 접촉하고 있는 구리 또는 알루미늄의 수냉식 냉각 판을 둘러싸는 몰리브덴 열 초크(choke) 실린더에 의해 지지된다. 미국특허 제5,867,359호는 약 500℃의 온도에서 동작하는 척을 설명하는데, 척은 니오브(niobium) 전극의 반대 측면들에 납땜된 사파이어(단결정 Al2O3) 층 들과 금속 베이스 플레이트에 납땜된 조립체를 포함한다. 미국특허 제5,908,334호는 175℃를 넘는 온도들에서 사용되는 척을 설명하는데, 척은 단극형 또는 쌍극형 전극의 일측 상의 폴리이미드 필름들(polyimide films)을 포함하며 그 아래층의 폴리이미드 필름 자체는 스테인레스 스틸 플래튼(platen)에 부착된다. 미국특허 제5,968,273호는 알루미늄 질화물 최상부층, 전극, 알루미늄 질화물 층, 금속판, 히터, 금속판 및 알루미늄 합성물을 포함하는 적층 척 본체를 개시하는데, 여기서 척 본체는 실린더에 의해 지지되어 척 본체의 외측 주변부가 실린더보다 더 연장된다.
몇몇 정전기 척(ESC)의 설계들에서는 웨이퍼 지지부의 인접한 표면들 사이의 열 전도를 향상시키기 위하여 헬륨 같은 열 전도 가스를 사용한다. 예를 들어, 미국특허 제5,155,652호는, 상부 열분해 붕소 질화물 층(upper pyrolytic boron nitride layer) 또는 선택적으로 폴리이미드, 알루미나, 석영 혹은 다이아몬드를 포함하는 층들, 붕소 질화물 기판 및 그 위의 열분해 흑연의 전도 패턴으로 이루어진 정전기 패턴 층, 붕소 질화물 기판 및 그 위의 열분해 흑연의 전도 패턴으로 이루어진 히터 층, 및 KOVAR(29%의 니켈, 17%의 코발트 및 55%의 철을 가진 NiCoFe 합금)의 히트 싱크 베이스(base)를 갖는 정전기 척(ESC)을 기술한다. 히트 싱크 베이스는, 그 하부에 있는 물 냉각 채널들과 그 상면(upper surface)에 있는 챔버들을 포함하고, 상기 챔버들은 척이 점점 뜨거워지는 동안 진공으로 유지될 수 있거나 척에 의해 지지되는 웨이퍼의 냉각을 돕기 위하여 헬륨으로 채워질 수 있다. 미국특허 제5,221,403호는, 웨이퍼를 지지하는 상부 부재와, 웨이퍼의 온도 제어를 위한 액체 통로를 구비하는 하부 부재로 이루어지는 지지 테이블을 설명하는데, 그 상부 부재는, 폴리이미드 시트들 사이의 구리 전극과 열 전도 가스가 공급되는 상부 부재 및 하부 부재의 접촉 표면들 사이의 간극으로 구성되는 정전기 척(ESC)을 포함한다.
본원과 동일한 출원인에 의한 미국특허 제5,835,334호는, 하부 알루미늄 전극과 그 하부 전극에 볼트 고정된 전극캡(electrode cap)의 접촉 표면들 사이에 헬륨이 도입되는 고온 척을 기술하며, 여기서 그 전극 캡은 산화피막을 이루는 알루미늄 또는 다이아몬드 코팅된 몰리브덴을 구비한다. 보호 알루미나 링 및 O-링 시일들은 전극캡과 하부 전극 사이의 냉각제 가스의 누출을 최소화한다. 전극캡은 에틸렌 글리콜(ethylene glycol), 실리콘 오일, 플루오르이너트(fluorinert), 또는 물/글리콜 혼합물과 같은 냉각제를 순환시키기 위한 액체 냉각제 채널들을 포함하고, 하부 전극은 약 100~350℃ 정도의 온도까지 척을 가열하기 위한 히터를 포함한다. 차등적 열 팽창으로 인한 산화피막의 균열을 방지하기 위해서, 전극 캡은 200℃ 이하의 온도들에서 유지된다. 다이어몬드 코팅된 몰리브덴 전극 캡의 경우에, 척은 보다 높은 온도들에서 사용될 수 있다.
국제공개공보 WO 99/36956은, 백금 전극층을 플라즈마 에칭하는 공정을 기술하는데, 여기서 기판은 150℃ 이상으로 가열되고 백금 층은 염소, 아르곤 및 임의적으로 BCl3, HBr 또는 그것들의 혼합물로 구성된 애천트 가스(etchant gas)의 고밀도 유도 결합 플라즈마(high density inductively coupled plasma)에 의해 에칭된다. 미국특허 제5,930,639호는, 백금이 고 유전상수 커패시터의 전극을 형성하고 백금이 산소 플라즈마로 에칭되는 백금 에칭 공정을 또한 설명한다.
고온에서의 사용을 위한 개선된 척 설계들을 제공하려는 몇몇 시도들이 있어 왔음에도 불구하고, 고온은 서로 다른 열 팽창 계수들을 갖는 재료들을 사용하는 것에 악영향을 미치는 차등적 열 응력을 발생하게 한다. 이것은 알루미늄 질화물과 같은 세라믹 재료들 및 스테인레스 스틸 또는 알루미늄과 같은 금속 재료들 사이의 밀봉 시일(hermetic seal)을 유지시키는데 특히 문제가 된다. 따라서, 고온 척 재료들에 대한 열 순환 요구들을 충족할 수 있는 개선된 척 설계들에 대한 기술이 필요하다. 특히, 생산성을 향상시키고 대형 디스플레이 장치들을 위하여 대형 작업 부재들이 사용될 수록 더 큰 열 팽창을 수용할 수 있는 설계가 요구되고 있다.
본 발명은 고온 진공 처리 챔버에 유용한 기판 지지부를 제공한다. 바람직한 실시예에서, 기판 지지부는, 척 본체, 열전달 본체 및 그들 사이의 외측 관형상부(outer tubulation)를 형성하는 팽창 조립체를 구비한 정전기 척이다. 척 본체는, 정전기 클램핑 전극 및 선택적인 히터 소자를 구비하며, 그 전극은 척 본체의 외측 표면 상에 반도체 웨이퍼와 같은 기판을 정전기적으로 클램핑하기에 적합하게 구성된다. 열전달 본체는, 척 본체와 열전달 본체의 서로 이격된 표면들 사이에 위치하는 플레넘(plenum)에 의해 척 본체로부터 분리된다. 열전달 본체는, 플레넘 안의 열 전달 가스를 통한 열 전도에 의해 상기 척 본체로부터 열을 제거하기에 적합하게 구성된다.
본 발명은 척 본체와 열전달 본체 간의 차등적인 열 팽창을 수용하는 기판 지지부를 위한 팽창 조립체를 또한 제공한다. 팽창 조립체는 척 본체의 외측 주변부를 열 전달 본체에 부착시킨다. 바람직한 실시예에 의하면, 팽창 조립체는, 척 본체, 내부 관형상 부분(inner tubular section) 및 열전달 본체와 함께 플레넘을 둘러싸는 외측 관형상부를 형성한다. 척 본체와 팽창 조립체 사이의 진공 시일은, 척 본체의 열 순환 동안 플레넘의 밀봉 시일을 유지한다. 바람직하게는, 그 시일은 압축가능한 금속 시일이며, 가장 바람직하게는 C-링 시일이다.
바람직한 실시예에 따르면, 열 전달 본체는 척 본체를 요구되는 온도로 유지시키기 위하여 냉각제가 순환될 수 있는 하나 이상의 냉각제 통로를 갖는 냉각 판을 구비하며, 플레넘는 척 본체의 하측의 적어도 50% 이상으로 연장되는 환형의 공간이다. 본 실시예에서, 열전달 본체는, 열 전달 가스가 환형 공간으로 흘러들어가는 가스 공급 통로를 포함한다. 바람직한 실시예에 따르면, 척 본체는 플레넘과 척 본체의 외측 표면 사이에서 연장된 가스 통로들을 포함한다. 가스 통로들은 어떤 적당한 배치로 제공될 수 있다. 예를 들어, 척 본체의 외부가 그 중앙부보다 더 뜨거워지려고 한다면, 기판의 처리 동안에 열 전달 가스가 플레넘으로부터 기판의 외측 주변부의 하측으로 흐르도록 가스 통로들은 팽창 조립체에 인접하여 위치될 수 있다. 바람직한 실시예에서, 기판은 제어할 수 있는 상태에서 약 80℃ 이상까지, 보다 바람직하게는 약 200℃ 이상 약 350℃ 또는 그 보다 높은 온도까지 가열될 수 있다. 바람직한 실시예에서는, 팽창 조립체를 통한 척 본체 및 열 전달 본체 간의 열 전도는 팽창 조인트 및 열 초크(heat choke)로서 기능하는 얇은 환형 부분에 의해 제한되어, 플레넘 안에 있는 열 전달 가스의 압력을 제어함으로써 열 전달이 조정된다. 보다 바람직한 실시예에서는, 팽창 조립체 열 초크를 통하여 척 본체를 구비한 고온 지역(zone) 및 상기 열 전달 본체(즉, 냉각 판)를 구비한 저온 지역으로부터의 직접적인 열 전도가 제한되어 척 본체의 외측 주변부와 척 본체의 내측 부분 사이의 온도 차이가 약 4∼5℃보다 작으며, 보다 바람직하게는 약 2℃ 미만이 된다.
바람직한 실시예에 따르면, 척 본체는 알루미늄 이나 그 합금과 같은 금속성 재료 또는 질화 알루미늄과 같은 세라믹 재료를 구비한다. 리프트 핀들(lift pins)은 기판을 상승 또는 하강하는데 사용될 수 있다. 예를 들면, 열 전달 본체는 그 위에 장착된 케이블에 의해 작동되는 리프트 핀들과 같은 리프트 핀들을 포함할 수 있고, 그 리프트 핀들은, 척 본체에 가까워지도록 그리고 그로부터 멀어지도록 이동가능하여, 기판을 상승 및 하강시켜 척 본체 상으로 그리고 그로부터 떨어지게 하도록 리프트 핀들은 척 본체 내의 관통구멍들을 통해 이동한다.
팽창 조립체는, 열 전달 본체에 부착되기에 적합하게 되어 있는 하부 장착 플랜지(lower mounting flange)와, 열 초크로서 또한 기능할 수 있는 플렉시블 금속 부품(flexible metal part)과 같은 팽창 조인트를 포함할 수 있다. 팽창 조인트는, 굴곡 부분에 의해 하부 플랜지에 연결되고 상부 장착 플랜지에 용접 또는 납땜된 내부 환형 부분을 구비할 수 있으며 또는 그 반대의 경우도 가능하다. 척 본체는 연속적인 클램핑 링(continuous clamping ring) 또는 구분된 링(sectioned ring)과 같은 클랭핑 장치에 의해 팽창 조립체의 상부 장착 플랜지에 부착될 수 있다. 척 본체의 외측 주변부 저면과 팽창 조립체의 상부 장착 플랜지의 상면 사이에서 압축된 금속 C-링 시일과 같은 시일 부재에 의해 척 본체와 상부 장착 플랜지의 사이에는 밀봉 시일이 제공된다. 클램핑 링은, 척 본체의 열 순환 동안에 클램핑 링의 변형을 최소화하기 위하여 척 본체의 열 팽창 계수와 조화되는 열 팽창 계수를 갖는 선택된 재료로 이루어질 수 있다.
척 본체는, 척 본체의 하측의 중앙부로부터 연장된 세라믹성 또는 금속성의 관형상 부분(tubular section)을 포함하여 관형상 부분의 외측 표면이 플레넘의 벽을 규정하며, 그 관형상 부분은 그 사이의 밀봉 시일에 의해 열 전달 본체와 유동적으로 접촉하면서 지지된다. 관형상 부분의 내부는, 클램핑 전극에 고주파(RF) 및 직류(DC) 전원을 공급하고 히터 소자에 교류(AC)전원을 공급하는 전원공급장치 및/또는 척 본체의 온도를 감시하는 온도측정장치를 포함할 수 있다.
본 발명의 실시예에 따르면, 척은 진공 처리 챔버 용의 교체가능한 정전기 척으로서, 그 척은 팽창 조립체에 부착될 수 있는 척 본체를 포함한다. 척은, 전원공급장치에 부착될 수 있는 전기적 접점을 가진 전극을 구비하며, 전원공급장치는, 척 본체의 외면 상에 기판을 정전기적으로 클램핑하도록 전극을 충분히 활성화시킨다. 본 발명의 실시예에 따르면, 정전기 척은 편리하게 교체가능한 팽창 조립체 및 편리하게 교체가능한 척 본체를 구비하여 정전기 척의 유용한 수명은 경제적인 수리 점검을 통해 연장될 수 있다. 그러므로, 본 발명은, 척 본체와 본 발명의 열 전달 본체 사이에 착탈가능하게 부착되기에 적합하게 구성된 팽창 조립체를 또한 제공한다.
본 발명은, 기판이 척 본체 상에 정전기적으로 클램핑되는 진공 처리 챔버 안에서 기판을 처리하는 방법을 또한 제공한다. 본 방법은, 전극을 활성화시킴으로써 본 발명의 정전기 척의 외측 표면 상에 기판을 클램핑하는 단계, 척 본체 내의 가열 소자로 기판을 가열하는 단계, 플레넘에 열 전달 가스를 공급하여 열 전달 가스가 척 본체 안의 관통구멍들을 통해 기판의 하측과 척 본체의 외측 표면 사이의 간격(gap)을 통과하도록 하는 단계, 플레넘에 공급된 열전달 가스를 통한 열전도에 의해 척 본체와 기판의 온도를 제어하는 단계, 그리고 기판을 처리하는 단계를 포함한다.
바람직한 일 실시예에 따르면, 본 방법은, 처리 가스(process gas)를 챔버에 공급하는 단계, 처리 가스를 플라즈마로 활성화시키는 단계, 및 처리 단계 동안 상기 플라즈마로 기판의 노출된 표면을 에칭하는 단계를 더 포함한다. 그러나, 기판의 노출된 표면은 처리 단계 동안에 코팅될 수 있다. 처리 가스는, 고주파 에너지를 챔버에 유도적으로 결합시키는 안테나에 고주파 에너지를 공급하는 것과 같은 어떤 적당한 기술에 의하여 플라즈마로 활성화될 수 있다. 처리 단계 동안에, 척 본체 안에 내장된 히터 소자로 전원을 공급함으로써 기판은 가열될 수 있다. 기판을 클램핑하기에 앞서, 기판은 열 전달 본체 상에 장착된 리프트 핀들로 척 본체의 외측 표면으로 하강될 수 있으며, 리프트 핀들은 척 본체의 외측 부분에 있는 관통 개구들(through openings)을 통과한다. 척 본체로부터 열을 빼앗기 위해서, 본 방법은 열 전달 본체 내의 액체 냉각제를 순환시키는 단계를 포함할 수 있다. 기판에서의 온도 변화들은, 열 전달 본체에 의해 지지되고 척 본체 안의 구멍을 통해 연장되는 온도센서에 의해 감시될 수 있다. 처리 단계 동안 백금층을 플라즈마 에칭하는 경우에, 기판은 200℃ 이상의 온도까지 가열될 수 있다.
본 방법에 따르면, 다중 열 경로들(multiple heat paths)을 통해 척 본체로부터 열을 제거함으로써 상기 척 본체를 가로지르는 요구되는 열 분포를 얻는 것이 가능하다. 게다가, 플레넘 안에서 열전달 가스의 압력을 변경함으로써 이들 열 경로들을 통하여 제거되는 열의 양을 조절하는 것이 가능하다. 예를 들어, 척 본체의 하측의 중앙부에 있는 세라믹성 또는 금속성의 관형상 연장부(tubular extension)가 척 본체로부터 열전달 본체로 열을 전도시키기 때문에, 본 방법은, 플레넘 안의 열전달 가스에 의해 제공된 제1 열 경로(heat path)를 통해 제거된 열이 외측 관형상부에 의해 제공된 제2 열 경로를 통해 제거된 열 및 상기 중앙 관형상 연장부에 의해 제공된 제3 열 경로를 통해 제거된 열과 규형을 이루도록 플레넘 안의 열전달 가스의 압력을 조절하는 단계를 포함할 수 있다.
본 발명은, 동일한 구서요소들은 동일한 참조번호들을 갖는 첨부한 도면들을 참고하여 보다 상세하게 설명된다.
도 1은 본 발명의 고온 정전기 척(HTESC) 조립체가 제공될 수 있는 진공 처리 챔버의 단면도이고,
도 2는 본 발명의 고온 정전기 척(HTESC) 조립체가 제공될 수 있는 또 다른 진공 처리 챔버의 단면도이며,
도 3은 도 2의 외팔보의 기판 지지부의 사시도이고,
도 4는 본 발명의 일 실시예에 따른 고온 정전기 척(HTESC) 조립체의 단면도이며,
도 5는 도 4에 도시된 고온 정전기 척(HTESC) 조립체의 일부 상세도이고,
도 6은 도 4의 고온 정전기 척(HTESC)의 단면 사시도이다.
본 발명은 고온 진공 처리 챔버에 사용되는 기판 지지부를 제공한다. 바람직한 실시예에서는, 지지부는, 플라즈마 에칭 반응기와 같은 진공 처리 챔버 안에서 반도체 웨이퍼들과 같은 기판들을 그 처리공정 동안에 클램핑하는데 유용한 정전기 척이다. 그러나, 정전기 척은, 화학기상증착, 스퍼터링(sputtering), 이온 주입(ion implantation), 레지스트 스트리핑(resist stripping) 등을 수행하는 동안에 기판들을 클램핑하는 것과 같은 다른 목적들을 위하여 사용될 수 있다.
본 발명의 바람직한 실시예에 따르면, 척은, 80℃ (어떤 종래의 척들의 상한이 60℃ 이다), 바람직하게는 200℃ 이상, 예를 들어 250 내지 500℃의 상승된 온도에서 척 상에 지지되는 기판을 유지하기 위하여 사용가능한 클램핑 전극과 임의적인 가열 소자를 포함한다. 예를 들면, 척은 재료들의 화학기상증착 또는 플라즈마 에칭 중에 웨이퍼를 지지하기 위하여 사용될 수 있으며, 이 때 기판을 약 150℃ 및 그 이상의 온도까지 가열하는 것이 필요하다.
척에 손상을 입힘이 없이 그러한 고온을 달성하기 위해서, 본 발명은 정전기 척을 위한 팽창 조립체를 제공한다. 척 설계, 그리고 특히 팽창 조립체는 소형 패키지로서 고온에서 기능성이 좋은 척을 제공한다.
바람직한 실시예에 따르면, 팽창 조립체는, 척의 활발히 가열된 부분과 척의 활발히 냉각된 부분의 이격된 표면들 사이에 플레넘을 형성하는 외측 관형상부(管狀部)를 형성한다. 플레넘은 열전달 가스로 채워져서 척의 가열된 부분으로부터 냉각된 부분으로 열을 전도시킨다. C-링 시일과 같은 금속 시일에 의해 팽창 조립체와 척 본체의 사이에 밀봉 시일이 유지된다. 이러한 배치에 의하면, 척의 가열된 부분에 어떤 엘라스토머 시일들(elastomer seals)도 사용할 필요가 없으며, 그에 의해 척의 가열된 부분이 엘라스토머 시일들이 파손될 온도 이상의 온도들에서 작동하는 것을 허용한다. 또한, 팽창 조립체의 열 초크 부재 및 플레넘 때문에, 냉각 판은 충분히 낮은 온도에서 유지될 수 있어 팽창 조립체와 냉각 판의 표면들에 접촉된 저가의 엘라스토머 시일들의 사용을 허용한다. 더욱이, 팽창 조립체 설계는 척의 전체 높이를 작게 하여 척을 빡빡한 시스템 포장 요구조건들(접지면)에 부합하게 만든다. 팽창 조립체의 또 다른 장점은 열 응력들(thermal stresses)이 척의 가열된 부분과 냉각된 부분 사이에서 수용될 수 있다는 점이다. 또 다른 장점은, 본 발명에 따른 상기 척이 200mm 보다 큰 작업 피스들, 예를 들어 300mm 웨이퍼를 수용하기 위해 크기가 조절될 수 있다는 점이다. 게다가, 헬륨과 같은 열 전달 가스는, 척 안의 가스 통로들의 복잡한 배치가 필요없이 기판의 하측 상의 목표 위치들에 제공될 수 있다.
본 발명에 따른 척을 사용하는 바람직한 방법에 따르면, 저 휘발성의 에칭 생산물은, 기판이 척에 의해 가열되는 플라즈마 에칭 공정에 의해 기판으로부터 제거될 수 있다. 이러한 저 휘발성의 에칭 생산물들은, 고유전막 유전재료들을 사용하는 캐피시터들 또는 트랜지스터들의 전극들로서 고려 중인 재료들, ZrO2 및 HfO2 뿐만 아니라 백금, 팔라듐(Pd), 루테늄(Ru), 이리디움(Ir) 및 그 화합물들과 같은 귀금속들을 플라즈마 에칭하는 동안에 형성될 수 있다. 그러한 저 휘발성의 에칭 생산물들은 기판이 충분히 가열되지 않으면 기판 표면에 남게 된다. 예를 들어, 백금을 에칭하는 동안 형성된 염화백금(platinum chloride)은 기판을 200℃ 정도로 가열함으로써 밀리토르(militorr) 압력들에서 휘발될 수 있다. 저온 에칭 공정들에서 사용된 종래의 척들은, 밀봉 시일들을 파열 및/또는 척 재료들의 파손을 유발하는 해로운 열 순환을 겪을 수 있기 때문에 그러한 고온 환경들에는 적합하지 않다. 더욱이, 그러한 종래의 척들의 물 냉각된 부분들은 척의 가열된 부분과 직접적으로 열 접촉되어 있기 때문에, 척으로부터 오는 열은 냉각 유체를 끓게 할 수 있고 척의 고르지 않은 냉각 및/또는 척의 불충분한 냉각을 야기할 수 있다. 본 발명에 따른 척은, 팽창조립체 설계의 사용을 통하여 이러한 문제들을 해결한다.
바람직한 일 실시예에 따르면, 척 본체는 요구된 전기적 및/또는 열적 특성을 갖는 금속성 또는 세라믹 재료로 이루어진다. 예를 들어, 척 본체는 알루미늄 또는 알루미늄 합금으로 이루어질 수 있다. 대안적으로, 척 본체는, 위스커(whisker) 형태의 입자들, 섬유들 등과 같은 충전재들(fillers) 또는 규소와 같은 침윤된 금속들(infiltrated metals)을 가지거나 또는 가지지 않는, 알루미늄 질화물, 붕소 질화물 및 규소 질화물과 같은 질화물들, 규소 탄화물 및 붕소 탄화물과 같은 탄화물들, 알루미나 같은 산화물들 등을 포함하는 하나 이상의 세라믹 재료들로 이루어질 수도 있다. 세라믹 척 본체는 다양한 기술들에 의해 형성될 수 있다. 예를 들어, 세라믹 재료는 분말야금기술에 의해 단일체 (monolithic body) 로 형성될 수 있으며, 이 때 세라믹 분말은, 클램핑 전극, 히터 및 그 안에 내장된 전원공급장치 연결부들에 의해 분말을 압축 또는 슬립 캐스팅(slip casting)하는 등의 방법에 의해 척 본체의 형태로 형성되며, 척 본체는, 분말을 소결시킴으로써 밀도가 높아진다. 대안적으로, 척 본체는, 클램핑 전극, 히터 및 그 안에 내장된 전원 공급통로를 위한 전기적 전도성의 패턴들이 씌워진 세라믹 재료의 시트들로부터 형성될 수 있으며, 그 층들은 소성화되어 최종의 척 본체를 형성한다.
본 발명에 따른 고온 정전기 척(HTESC) 조립체의 예시적인 실시예는 도 1 내지 도 6을 참조하여 이제 설명된다. 고온 정전기 척(HTESC) 조립체는 고온 기능성, 상대적으로 낮은 전력 요구량, 보다 긴 작동 수명, 단순한 후면 냉각, 낮은 제조 비용과 컴팩트한 디자인, 그리고 작업 피스를 크게 하기 위한 크기조절성(scalability)과 같은 이로운 특징을 제공한다.
본 발명에 따른 고온 정전기 척(HTESC)은, 냉각 판이 단일 피스의 정전기 척과 일체로 형성된 종래의 척 조립체들과 비교하여, 양호한 고온 기능성과 상대적으로 낮은 전력 요구량을 제공할 수 있다. 그러한 종래의 척 장치들에서, 최대 작동 온도는 대략 60℃로 제한된다. 최대 작동 온도를 증가시키기 위하여, 본 발명의 고온 정전기 척(HTESC)은, 내부에 내장된 정전기 클램핑 전극을 갖는 세라믹 척 본체와 같은 정전기 척(ESC)과, 냉각 판과 같은 열 전달 본체를 포함하는 2 피스의 조립체로 설계되었다. 게다가, 열 초크로서 기능하는 얇은 변형가능한 환형 부분을 바람직하게 포함하는 팽창 조립체는, 냉각 판으로부터 정전기 척(ESC) 부분을 열적으로 격리시키기 위하여 척과 통합되었다. 열 초크 부분은 정전기 척(ESC) 부분의 에지로부터 냉각 판까지 열의 전도성을 현저히 감소시키며, 이에 의하여 척 본체 내부에 내장된 히터 소자로의 상대적으로 많은 전원의 공급을 필요로 하지 않고서도 정전기 척(ESC) 부분이 대략 350℃ 혹은 그 이상의 높은 온도에 도달하는 것을 허용한다.
팽창 조립체는 고온 정전기 척(HTESC)의 연장된 작동 수명을 제공한다. 특별히, 팽창 조립체의 사용에 의하여, 정전기 척(ESC) 부분은 고온 정전기 척(HTESC)의 다른 부분을 손상시키지 않고서 실질적인 열 팽창을 겪을 수 있다. 정전기 척(ESC)과 팽창 조립체 사이의 교체가능한 시일은 고온 정전기 척(HTESC)의 서비스 수명을 더 연장한다. 팽창 조립체는, 정전기 척(ESC) 부분으로부터 냉각 판까지의 열 전도를 최소화하면서 정전기 척(ESC) 부분의 열적 팽창 및 수축을 허용하는 얇은 벽의 환형 부분을 구비하는 단일 피스 혹은 다수 피스의 용접된 또는 납땜된 조립체로서 설계될 수 있다. 얇은 벽의 환형 열 초크 부분은 정전기 척(ESC) 부분과 냉각 판 사이의 차등적인 열 팽창을 수용하며, 이에 의하여 고온 정전기 척(HTESC) 조립체 내의 응력들을 최소화하고 그리하여 고온 정전기 척(HTESC) 조립체의 조기 고장의 가능성을 감소시킨다. 게다가, 열 초크 부분은 고온 정전기 척(HTESC) 조립체내의 납땜 조인트에서의 응력을 감소시키는 방식으로 설계될 수 있다.
적절히 기판을 냉각시키기 위하여 정전기 척(ESC) 부분 내부의 복잡한 가스 분배 장치에 의존하는 종래의 척 조립체들과 비교하면, 본 발명에 따른 고온 정전기 척(HTESC)은, 더 많은 냉각이 요구되는 기판의 부분들을 선택적으로 대상으로 하는 단순한 장치를 포함한다. 예를 들면, 고온 정전기 척(HTESC) 조립체는 정전기 척(ESC) 부분과 냉각 판 사이에 플레넘을 포함하고, 정전기 척(ESC) 부분은 플레넘에 공급되는 열 전달 가스에 의하여 냉각될 수 있고, 기판은 플레넘으로부터 정전기 척(ESC) 부분의 외부 표면까지 연장되는 통로를 통하여 열 전달 가스를 기판의 선택 부분에 공급함으로써 제어되는 온도로 될 수 있다. 플라즈마 에칭에 사용되는 고온 정전기 척(HTESC)에서, 가스 분배 구멍들은 기판의 외측 부분의 냉각을 향상시키기 위하여 정전기 척(ESC) 부분의 외측 주변부 근처에 제공될 수 있다. 그리하여, 가스 분배 구멍들이 정전기 척(ESC) 부분의 지지 표면에서 요구되는 위치에 형성될 수 있기 때문에 복잡한 가스 분배 장치가 불필요하다.
다른 고온 척 조립체들과 비교하면, 본 발명의 고온 정전기 척(HTESC)에서의 팽창 조립체의 사용은 고온 정전기 척(HTESC)의 제조 비용을 감소시키고 및/또는 고온 정전기 척(HTESC)의 제조를 단순화시킬 수 있다. 특별히, 열 초크 부재는 냉각 판으로부터 뜨거운 정전기 척(ESC) 부분을 열적으로 격리시키기 때문에, 표준의 저 비용 엘라스토머 시일들이 냉각판에 접촉하는 위치들에서 사용될 수 있다. 게다가, 착탈가능하게 부착되는 팽창 조립체는, 팽창 조인트와 정전기 척(ESC) 본체 사이의 고온 진공 실링 부재의 교체를 허용한다.
본 발명에 따른 고온 정전기 척(HTESC)은 진공 챔버에서 사용될 수 있도록 작은 전체 높이를 제공하도록 설계되는데, 척은 외팔보의 지지 아암 상에서 지지된다. 예를 들면, 도 1 내지 도 3은, 본 발명의 고온 정전기 척(HTESC) 조립체가 내부에 장착될 수 있는 진공 처리 챔버들(10, 24)의 예들을 도시한다. 본 발명이 도 1 내지 도 3에 도시된 챔버 설계와 관련하여 설명되지만, 본 발명의 고온 정전기 척(HTESC) 조립체는 기판을 정전기적으로 클램핑하도록 요구되는 어떠한 진공 처리 챔버에서도 사용될 수 있음은 이 기술분야의 통상의 지식을 가진 자에 의해 인식될 것이다. 예를 들면, 본 발명의 고온 정전기 척(HTESC) 조립체는, 에칭, 증착, 레지스트 스트리핑 등과 같은 다양한 반도체 플라즈마 혹은 비플라즈마 처리 단계들이 수행될 수 있는 처리 챔버들에서 기판 지지부의 일부로서 사용될 수 있다.
도 1에 도시된 바와 같이, 진공 챔버(10)는 챔버의 측벽으로부터 내측으로 연장되는 외팔보의 기판 지지부(12)를 포함하고 고온 정전기 척(HTESC)(14)은 기판 지지부(12)에 의해 지지된다. 서비스 도관(service conduit; 미도시)을 포함하는 서비스 통로(18)는 지지부(12)의 내부로 개방된다. 서비스 도관은 고온 정전기 척(HTESC)에 서비스를 제공하기 위하여, 예를 들어, 클램핑 전극에 직류(DC) 전원을 공급하기 위하여, 고주파(RF) 전원을 기판에 그것의 처리 동안에 고주파(RF) 바이어스를 공급하는 분리 전극 또는 클램핑 전극에 공급하기 위하여, 히터 소자에 교류(AC) 전원을 공급하기 위하여, 리프트 핀들을 작동시키기 위한 케이블들을 수용하기 위하여, 고온 정전기 척(HTESC) 및/또는 기판을 냉각시키기 위한 냉각제를 공급하기 위하여, 센서들 혹은 감시 장비들로부터 들어오는 전기적 신호들을 전달하기 위하여, 사용된다.
도시된 실시예에서, 장착 플랜지(20)와 지지 아암(22)은, 예를 들어 O-링을 사용한 기계적 패스너들 (fasteners), 및 챔버와 플랜지(20)의 반대 표면들 사이에 배치되는 고주파(RF) 차폐에 의해 챔버 내에 있는 개구부에 착탈가능하게 장착될 수 있는 일체 부품을 형성된다. 도 1에 도시된 배열에서, 챔버 내에 있는 가스는 진공 펌프(23)에 의하여 개구부(21)를 통하여 회수될 수 있다. 플라즈마는 챔버의 상부에 장착되는 에너지 소스(미도시)에 의하여 챔버에서 발생될 수 있다. 즉, 챔버의 최상부는 용량 결합된, 유도 결합된, 마이크로웨이브, 마그네트론, 헬리콘, 또은 다른 적합한 플라즈마 발생 장비와 같은 다양한 형태의 플라즈마 발생 소스들을 지지하도록 설계된다. 또한, 처리 가스는, 가스 분배 플레이트(샤워헤드), 하나 혹은 그 이상의 가스 링들 및/또는 가스 분사기들, 또는 다른 적합한 장치와 같은 다양한 형태들의 가스공급장치들에 의하여 챔버에 공급될 수 있다.
도 2는, 진공 처리 챔버(24)와, 척 조립체(28)가 그 위에 장착되는 외팔보의 기판 지지부(26)를 도시한다. 도시된 바와 같이, 기판(30)은, 기판 지지부(26)가 장착되는 고온 정전기 척(HTESC) 조립체(28) 상에서 지지된다. 기판 지지부(26)은, 전체 기판 지지부/지지부 아암 조립체(26/32)가 챔버(24)의 측벽에 있는 개구부(미도시)를 통하여 그 조립체를 통과함으로써 챔버로부터 제거될 수 있도록, 외팔보의 방식으로 장착되는 지지 아암(32)(도 3에 도시)의 일 단부에 있다. 처리 가스는, 가스 공급 파이프(34) 또는 가스 분배 플레이트와 같은 어떤 적절한 장치에 의하여 챔버에 공급될 수 있고, 가스는, 유전체 부재(40)를 통하여 고주파(RF) 에너지를 유도 결합시키는 평면 코일과 같은 안테나(38)에 의해 플라즈마 상태로 활성화될 수 있다. 종래의 고주파(RF) 발생기(42)와 매치(match) 네트워크(44)와 같은 어떤 적절한 장치에 의하여 안테나에 고주파(RF) 에너지가 공급될 수 있다. 웨이퍼의 처리 동안에, 헬륨과 같은 열 전달 가스가, 도 3에 도시된 바와 같이, 구멍들(46)을 통하여 웨이퍼의 후면에 공급될 수 있다.
도 1 내지 도 3에 도시된 챔버에서, 챔버들(10, 24)로부터 고온 정전기 척(HTESC)을 포함하는 기판 지지부(26)를 용이하게 제거하는 것을 허용하기 위하여 고온 정전기 척(HTESC)의 높이를 최소화하는 것이 바람직하다. 컴팩트한 설계로, 연장된 서비스 수명, 경제적인 제작, 유지보수 및 작동을 제공하는 본 발명에 따른 예시적인 고온 정전기 척(HTESC)의 상세한 사항들은 도 4 내지 도 6에 도시된 실시 예들을 참조하여 이제 설명될 것이다.
도 4는 본 발명의 제1실시예에 따른 고온 정전기 척(HTESC) 조립체(50)를 도시하는데, 여기서 고온 정전기 척(HTESC) 조립체(50)은, 도 1 내지 도 3을 참조하여 위에서 상술된 바와 같이 진공 처리 챔버에 있는 외팔보의 기판 지지부 상에 장착될 수 있다. 도 6은, 단면 사시도로 고온 정전기 척(HTESC) 조립체(50)를 도시한다. 고온 정전기 척(HTESC) 조립체(50)는, 척 본체(56)와 열 전달 본체(58)를 포함하는 두 부품으로 설계된다. 척 본체(56)는, 클램핑 전극(60), 선택적인 히터 소자(62), 및 중앙의 관형상 연장부(66)를 포함한다. 열 초크로 기능하고 외측 관형상부를 형성하는 팽창 조립체(64)는, 볼트들(미도시)에 의하여 열 전달 본체(58)에 착탈가능하게 부착되고 핀들(70)에 의하여 정렬되는 하부 장착 플랜지(또는 제 2 장착 플렌지; 68)를 포함한다. 상부 장착 플랜지(또는 제 1 장착 플렌지; 124)는, 척 본체(56)를 상부 장착 플랜지(124)에 클램핑하기 위하여 기능하는 볼트들(126)에 의하여 클램핑 링(125)에 착탈가능하게 부착된다. 척 본체(56)는 바람직하게, 알루미늄 질화물과 같은 유전체 특성을 나타내는 세라믹 재료로 이루어진다. 팽창 조립체(64)와 열 전달 본체(58)는, 알루미늄, 구리, 티타늄 및 그 합금과 같은 열 전도성 금속들로 이루어질 수 있다. 그러나, 바람직한 재료는, 스테인레스 스틸, 코발트, 니켈, 몰리브덴, 지르코늄 또는 그 합금들과 같은 열 전도성 금속이다. 대안적으로, 팽창 조립체(64)와 열 전달 본체는, 반도체 기판들이 처리되는 진공 챔버에서 융화될 수 있는 어떤 재료들로 이루어질 수 있다.
열 전달 본체(58)는 냉각제 통로(72)를 포함하고, 물과 같은 냉각제 또는 다른 냉각제가 적절한 도관에 의하여 통로들(72)에 공급될 수 있다. 전력은, 관형상 연장부(66)에 있는 전원공급선들에 의하여 클램핑 전극(60)과 히터 소자(62)에 공급될 수 있다. 예를 들면, 고주파(RF)와 직류(DC) 전원은 로드(67; rod)에 의하여 클램핑 전극에 공급될 수 있는데, 그 로드의 바닥은 스트랩(69)에 연결된다. 척 본체의 온도는, 관형상 연장부(66)에 있는 온도 피드백 조립체(71)에 의해 감시될 수 있다.
척 본체(56) 및 열 전달 본체(58)의 서로 이격된 표면들(82, 84) 사이에 플레넘(80)이 제공된다. 헬륨과 같은 열 전달 가스가 가스 도관(76)에 의하여 플레넘(80)에 공급될 수 있다. 척 본체 상의 기판의 온도는, 피팅부(78; fitting)에 지지되는 광섬유 소자(77)에 의해 감시될 수 있다. 비록 바람직한 실시예에 따라 공압적으로 작동되는 리프트 핀 조립체와 같은 어떤 형태의 리프트 핀 조립체가 사용된다고 하더라도, 기판은, 케이블로 작동되는 복수의 리프트 핀들에 의하여 상승되고 하강될 수 있고, 그것들의 각각은, 구멍(79)에 장착되는 피팅부와 케이블로 작동되는 리프트 핀을 포함한다. 열 전달 본체(58)에 있는 그루브에 끼워지는 엘라스토머 시일(88)은, 팽창 조립체(64)와 열 전달 본체(58) 사이에서 진공 밀봉을 제공한다. 엘라스토머 시일(92)은 열 전달 본체(58)의 하측과 유전체 장착 플레이트(94) 사이에서 진공 밀봉을 제공하고, 엘라스토머 시일(96)은 그 장착 플레이트(94)의 하측과 기판 지지부의 하우징(54) 사이에서 진공 밀봉을 제공한다. 유전체 에지 링(98)(예들 들면, 알루미나, 규소 질화물, 석영 등)은 장착 플레이트(94) 위에 놓이며, 유전체 포커스 링(100)(예를 들면, 알루미나, 규소 질화물, 규소 탄화물 등)은 그 에지 링(98) 위에 놓이고 척 본체(56)를 둘러 싼다.
도 5는, 팽창 조립체(64)를 갖는 척 본체(56)를 상세하게 도시하는데, 팽창 조립체(64)는 척 본체(56)에 부착된다. 도 5에 도시된 바와 같이, 팽창 조립체(64)는, 하부 장착 플랜지(68), 팽창 조인트와 열 초크로 기능하는 내부의 환형 부분(102), 상부 장착 플랜지(124), 그리고 용접 또는 납땜 조인트(104)를 포함한다. 내부의 환형 절단 부분(102)는 굴곡 부분(101)에 의해 하부 장착 플랜지(68)와 연결되고 조인트(104)에 의하여 상부 장착 플랜지(124)에 연결된다. 내부의 환형 부분(102)은, 환형의 공간(108)에 의하여 하부 장착 플랜지(68)로부터 분리된다. 하부 장착 플랜지(68)와 내부의 환형 부분(102)는, 스테인레스 스틸과 같은 단일편의 금속으로부터 형성(예를 들면, 기계가공, 주조, 단조 등)될 수 있다. 상부 장착 플랜지 (124) 및/또는 하부 장착 플랜지 (68) 는 환형일 수도 있다.
척 본체(56)는, 볼트들(126)에 의하여 상부 장착 플랜지에 착탈가능하게 고정된 클램핑 링(125)과 같은 클램핑 장치에 의하여, 상부 장착 플랜지(124)에 클램핑된다. 상부 장착 플랜지(124)의 최상부 표면(120)과 척 본체(56)의 하부 표면(122) 사이에 작은 간격이 존재한다. 상부 장착 플랜지의 표면(121)과 척 본체(56)의 하부 표면(122) 사이에 위치하는 C-링(112)는, 플레넘(80)의 밀폐 시일을 유지한다.
척 본체(56)가 가열되고 팽창될 때, 척 본체(56)의 외부 직경은 클램핑 링(125)에 대하여 가압하고 팽창 조인트(102)를 탄성적으로 변형시킨다. 굴곡 부분(101)과 내부 환형 부분(102)은, 척 본체(56)의 열적 팽창과 수축을 수용하기 위하여 탄성 변형을 하게 된다. 그리하여, 척 본체(56)의 기계적 응력이 최소화된다. 클램핑 링(125)은, 척 본체(56)의 열적 팽창에 적합한 재료로 이루어질 수 있다.
기판은, 공압적으로 작동되는 리프트 핀 조립체 혹은 위에서 상술한 케이블로 작동되는 조립체와 같은 모든 적합한 리프트 핀 장치에 의해 상승되고 하강될 수 있다. 예를 들면, 리프트 핀 조립체는 복수의 리프트 핀들(132)을 포함할 수 있고, 그것들의 각각은, 하우징에서 슬라이딩 가능한 리프트 핀 지지부에 부착된 케이블(미도시)에 의하여 상승되고 하강될 수 있다. 하우징은, 밀폐 시일을 유지하도록 구멍(79)에 끼워진다. 그러한 케이블로 작동되는 리프트 핀들의 보다 상세한 설명은, 본원과 동일한 출원인에 의한 미국 특허 제5,796,066호에서 발견될 수 있다. 리프트 핀 구멍(136)은 리프트 핀(132)의 움직임을 허용하는 크기이고, 플레넘(80)에서의 열 전달 가스는 리프트 핀(132) 주위로부터 척 본체(56)와 오버행잉 관계(overhanging relation)로 위치하는 기판의 하측으로 유입될 수 있다.
열 전달 가스는 가스 통로(76)을 통하여 플레넘(80)에 공급될 수 있고 플레넘내의 가스는 2 내지 20 Torr와 같은 어떤 적당한 압력에서 유지될 수 있다. 기판의 크기에 따라, 3 혹은 그 이상의 리프트 핀들(132)은 기판을 상승 및 하강시키기 위하여 사용될 수 있다. 도 4 및 도 5에 도시된 바와 같이, 부가적인 구멍들(46)이 기판의 에지 주위로 가스를 골고루 분배시키기 위하여 제공될 수 있다. 게다가, 구멍들은, 기판 아래로 가스를 분배시키는 것을 돕도록 척 본체의 상부 표면에 있는 얕은 그루브(미도시)로 개방될 수 있다. 클램핑 전극과 히터 소자에 전원을 공급하기 위하여, 전원공급장치들은 관형상 연장부(66)의 내부에 제공될 수 있다. 또한, 전원공급장치들 중 하나는, 척 본체 온도 센서(71)와, 개구부(77)에 장착되는 기판 온도 센서(미도시)로 전기적 신호들을 전달하기 위하여 사용될 수 있다.
팽창 조립체의 내부의 환형 부분(102)의 얇은 단면은, 고온 정전기 척(HTESC) 조립체의 잔부로부터 척 본체를 열적으로 격리시키는 것을 허용한다. 열적으로 척 본체를 격리시킴으로써 그리고 그리하여 척 본체로부터 나가는 열 전도에 기인한 열 손실을 최소화함으로써, 척 본체는 상대적으로 많은 양의 전력의 소비를 요하지 않고서도 대략 350℃ 혹은 그 이상의 높은 온도들에 도달할 수 있다. 게다가, 내부의 환형 팽창 조인트와 열 초크(102)와 굴곡 부분(101)의 형상은, 그 조인트가 기판의 처리 동안에 열적 순환의 결과로서 팽창 및 수축하는 것을 허용한다. 따라서, 고온 정전기 척(HTESC) 조립체의 용접 및 납땜 조인트들 상의 열적 응력들이 최소화되기 때문에, 고온 정전기 척(HTESC)는 긴 작업 수명을 가질 것으로 기대될 수 있다.
고온 정전기 척(HTESC) 조립체의 나머지로부터 척 본체를 열적으로 격리시킴으로써, 표준의 저 비용 엘라스토머 재료들을 사용하여 열 전달 본체로 진공 밀봉할 수 있다. 그러한 진공 밀봉들은 VITON과 같은 저 비용 재료로 이루어질 수 있다. 척 본체는 금속층들과 세라믹 재료의 동시소성층들로 이루어질 수 있다. 예를 들면, 본원과 동일한 출원인에 의한 미국 특허 제5,880,922는, 세라믹 척 본체를 제조하기 위한 적당한 기술을 설명한다. 예를 들면, 그 층들은, 세라믹 층들 사이에 끼워진 단극 혹은 이극 전극(고주파 바이어스 전극과 같은 기능을 또한 하는)을 형성하는 도전층을 포함할 수 있다. 하나 이상의 나선형 내열 유소와 같은 히터 소자가 추가의 세라믹층들 사이에 위치될 수 있다. 클램핑 전극 및 히터 소자에 전원을 공급하기 위한 각종 전도성 공급통로는 척 본체에 통합될 수 있다.
이상, 본 발명에 대하여 그것의 바람직한 실시예들을 참조하여 상세하게 설명하였지만, 본 발명의 범위를 벗어남이 없이, 다양한 변형이 이루어질 수 있고 균등물들이 채용될 수 있음은 이 기술분야에서 통상의 지식을 가진 자에게는 명백할 것이다.

Claims (30)

  1. 정전기 클램핑 전극과 임의적 히터 소자를 구비하는 척 본체로서, 상기 클램핑 전극은 상기 척 본체의 외부 표면 상에 기판을 정전기적으로 클램핑하도록 구성된, 상기 척 본체;
    열 전달 본체로서, 상기 척 본체와 상기 열 전달 본체의 이격된 표면들 사이에 위치하는 플레넘에 의해 상기 척 본체로부터 분리되며, 상기 플레넘 내의 열 전달 가스를 통한 열전도에 의하여 상기 척 본체로부터 열을 제거하도록 구성된, 상기 열 전달 본체; 및
    상기 척 본체의 외측 주변부를 상기 열 전달 본체에 연결하며 상기 척 본체에 착탈가능하게 부착되고, 상기 척 본체와 상기 열 전달 본체의 차등적인 열 팽창을 수용하는 팽창 조립체를 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  2. 제1항에 있어서,
    상기 팽창 조립체는 변형가능한 환형 부분을 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  3. 제2항에 있어서,
    상기 변형가능한 환형 부분은, 상기 척 본체와 상기 열 전달 본체 사이의 직접적 열 전도를 제한함으로써 열 초크로서 기능하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  4. 제2항에 있어서,
    상기 팽창 조립체는 제1 및 제2 장착 플랜지들을 더 포함하며,
    상기 변형가능한 환형 부분은 굴곡 부분에 의하여 상기 장착 플랜지들 중 하나에 연결되는, 고온 진공 처리 챔버에 유용한 정전기 척.
  5. 제2항에 있어서,
    상기 팽창 조립체는 제1 및 제2 장착 플랜지들을 더 포함하며,
    상기 변형가능한 환형 부분은 납땜 또는 용접 조인트에 의하여 상기 장착 플랜지들 중 하나에 연결되는, 고온 진공 처리 챔버에 유용한 정전기 척.
  6. 제1항에 있어서,
    상기 척 본체를 상기 팽창 조립체에 착탈가능하게 부착하는 클램핑 장치를 더 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  7. 제6항에 있어서,
    상기 클램핑 장치는 상기 척 본체의 외측 에지에 인접하고 상기 척 본체와 상기 팽창 조립체 사이에서 금속 시일을 압축하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  8. 제7항에 있어서,
    상기 금속 시일은 C-링 시일인, 고온 진공 처리 챔버에 유용한 정전기 척.
  9. 제6항에 있어서,
    상기 클램핑 장치는 클램핑 링을 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  10. 제1항에 있어서,
    상기 열 전달 본체는, 상기 척 본체를 요구되는 온도로 유지시키기 위해 냉각제가 순환될 수 있는 하나 이상의 냉각제 통로를 내부에 갖는 냉각 판을 구비하며,
    상기 플레넘은 상기 척 본체의 하측의 적어도 50% 이상으로 연장되는 환형 공간이고,
    상기 열 전달 본체는 상기 플레넘 안으로 열 전달 가스가 유입되는 가스 공급 통로를 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  11. 제1항에 있어서,
    상기 팽창 조립체는 상기 플레넘의 외측 벽을 규정하고 상기 척 본체의 열적 순환 동안에 상기 척 본체와 상기 열 전달 본체에 대한 밀폐 시일을 유지하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  12. 제1항에 있어서,
    상기 척 본체는 상기 플레넘과 상기 척 본체의 외측 표면 사이를 연장하는 가스 통로들을 포함하며,
    상기 가스 통로들은 상기 팽창 조립체에 인접하여 임의적으로 위치하고 그 처리 동안에 상기 플레넘으로부터 상기 기판의 외측 주변부의 하측까지 열 전달 가스를 공급하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  13. 제1항에 있어서,
    상기 척 본체는 금속 재료 또는 세라믹 재료를 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  14. 제13항에 있어서,
    상기 척 본체는, 알루미늄 질화물, 규소 질화물, 붕소 질화물, 규소 탄화물, 붕소 탄화물, 알루미나 및 그 혼합물로 이루어지는 군으로부터 선택되는 세라믹 재료를 포함하는, 고온 진공 처리 챔버에 유용한 정전기 척.
  15. 제1항에 있어서,
    상기 척 본체의 하측의 중앙 부분으로부터 연장되는 세라믹 또는 금속의 관형상 부분을 더 포함하며,
    상기 관형상 부분의 외측 표면은 상기 플레넘의 벽을 규정하며,
    상기 관형상 부분의 내부는, 상기 클램핑 전극에 전원을 공급하는 장치, 상기 히터 소자에 전원을 공급하는 장치, 및/또는 상기 척 본체의 온도를 감시하는 장치를 임의적으로 포함하며, 상기 관형상 부분의 내부는 대기압에 임의적으로 개방되어 있는, 고온 진공 처리 챔버에 유용한 정전기 척.
  16. 제1항에 기재된 정전기 척의 외측 표면 상에 기판을 정전기적으로 클램핑하는 단계;
    상기 척 본체내의 가열 소자로 상기 기판을 임의적으로 가열하는 단계;
    상기 척 본체에 있는 구멍들을 통해 상기 척 본체와 상기 기판의 하측 사이의 간격을 임의적으로 통과할 수 있는, 상기 플레넘에 공급되는 열 전달 가스에 의해, 상기 기판과 상기 척 본체의 온도를 제어하는 단계; 및
    상기 기판을 처리하는 단계를 포함하는, 진공 처리 챔버에서 기판을 처리하기 위한 방법.
  17. 제16항에 있어서,
    상기 기판은 150℃ 이상의 온도까지 균일하게 가열되며,
    상기 척 본체와 열 전달 본체는 상기 플레넘과 상기 팽창 조립체의 변형가능한 환형의 열 초크 부분에 의하여 열적으로 격리되며,
    상기 팽창 조립체에 의해 기계적 응력과 관련된 온도가 최소화되기 때문에 상기 정전기 척의 유용한 수명이 증가되는, 진공 처리 챔버에서 기판을 처리하기 위한 방법.
  18. 제16항에 있어서,
    상기 기판을 처리하는 단계는,
    상기 처리 챔버로 처리 가스를 제공하는 단계;
    상기 처리 가스를 플라즈마 상태로 활성화시키는 단계; 및
    상기 플라즈마에 의해 상기 기판의 노출된 표면을 에칭하는 단계를 포함하는, 진공 처리 챔버에서 기판을 처리하기 위한 방법.
  19. 제16항에 있어서,
    상기 기판을 처리하는 단계는 상기 기판 상에 코팅을 증착하는 단계를 포함하는, 진공 처리 챔버에서 기판을 처리하기 위한 방법.
  20. 제16항에 있어서,
    상기 기판을 처리하는 단계는 화학기상증착, 플라즈마기상증착, 스퍼터링, 이온 주입 또는 플라즈마 에칭을 포함하는, 진공 처리 챔버에서 기판을 처리하기 위한 방법.
  21. 고온 진공 처리 챔버에 유용한 기판 지지부를 위한 팽창 조립체로서,
    상기 기판 지지부는 히터 소자 및/또는 전극을 임의적으로 포함하는 척 본체를 포함하며, 상기 전극은 기판을 상기 척 본체의 외측 표면 상에 정전기적으로 클램핑하도록 구성되고,
    상기 기판 지지부는 열 전달 본체를 더 포함하며, 상기 열 전달 본체는 상기 척 본체와 상기 열 전달 본체의 이격된 표면들 사이에 위치하는 플레넘 내의 열 전달 가스를 통한 열 전도에 의해 상기 척 본체로부터 열을 제거하도록 구성되고,
    상기 팽창 조립체는,
    상기 척 본체의 외측 주변부에 착탈가능하게 부착될 수 있는 제1 장착 플랜지;
    상기 열 전달 본체에 착탈가능하게 부착될 수 있는 제2 장착 플랜지; 및
    상기 장착 플랜지들 사이에 연결된 변형가능한 환형 부분을 구비하는 팽창 조인트를 포함하며,
    상기 팽창 조립체는, 상기 척 본체와 상기 열 전달 본체의 차등적인 열 팽창을 수용하는, 팽창 조립체.
  22. 제21항에 있어서,
    상기 변형가능한 환형 부분은, 굴곡 부분에 의해 상기 장착 플랜지들 중 하나에 연결되고 납땜 또는 용접 조인트에 의해 상기 장착 플랜지들 중 다른 하나에 연결되는, 팽창 조립체.
  23. 제21항에 있어서,
    상기 제1 장착 플랜지를 상기 척 본체에 클램핑하도록 구성된 방식에서 상기 제1 장착 플랜지에 부착된 클램핑 장치를 더 포함하는, 팽창 조립체.
  24. 제23항에 있어서,
    상기 클램핑 장치와 상기 팽창 조립체의 제1 장착 플랜지는 상기 척 본체의 외측 주변부와 상기 제1 장착 플랜지 사이에서 금속 C-링 시일을 압축하도록 구성된, 팽창 조립체.
  25. 정전기 척을 위한 팽창 조립체로서,
    척 본체에 착탈가능하게 부착될 수 있는 제1 환형의 장착 플랜지;
    제2 환형의 장착 플랜지; 및
    상기 제1 환형의 장착 플랜지 및 상기 제2 환형의 장착 플랜지를 연결하는 변형가능한 환형의 부분을 구비하는 팽창 조인트를 포함하는, 팽창 조립체.
  26. 제25항에 있어서,
    상기 제1 환형의 장착 플랜지는 척 본체를 상기 제1 환형의 장착 플랜지에 클램핑도록 구성된 클램핑 장치와 협력하는, 팽창 조립체.
  27. 제25항에 있어서,
    상기 변형가능한 환형의 부분은 굴곡 부분에 의하여 상기 장착 플랜지들 중 하나에 연결되는, 팽창 조립체.
  28. 제25항에 있어서,
    상기 변형가능한 환형 부분은 납땜 또는 용접 조인트에 의하여 상기 장착 플랜지들 중 하나에 연결되는, 팽창 조립체.
  29. 제25항에 있어서,
    상기 제1 환형의 장착 플랜지 및 상기 제2 환형의 장착 플랜지 중 하나와 상기 변형가능한 환형의 부분은 단일 피스의 재료로 이루어지는, 팽창 조립체.
  30. 제26항에 있어서,
    상기 클램핑 장치 및 상기 제1 환형의 장착 플랜지는 상기 척 본체의 외측 주변부와 상기 제1 환형의 장착 플랜지 사이에 금속 C-링 시일을 압축하도록 구성된, 팽창 조립체.
KR1020037017080A 2001-06-28 2002-06-05 고온 정전기 척 KR100907848B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/892,458 2001-06-28
US09/892,458 US6669783B2 (en) 2001-06-28 2001-06-28 High temperature electrostatic chuck
PCT/US2002/017663 WO2003003448A2 (en) 2001-06-28 2002-06-05 High temperature electrostatic chuck

Publications (2)

Publication Number Publication Date
KR20040015763A KR20040015763A (ko) 2004-02-19
KR100907848B1 true KR100907848B1 (ko) 2009-07-14

Family

ID=25399981

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037017080A KR100907848B1 (ko) 2001-06-28 2002-06-05 고온 정전기 척

Country Status (12)

Country Link
US (1) US6669783B2 (ko)
EP (1) EP1399963B1 (ko)
JP (1) JP4256257B2 (ko)
KR (1) KR100907848B1 (ko)
CN (2) CN100437968C (ko)
AT (1) ATE356431T1 (ko)
AU (1) AU2002303965A1 (ko)
DE (1) DE60218669D1 (ko)
IL (2) IL159497A0 (ko)
RU (1) RU2295799C2 (ko)
TW (1) TW550643B (ko)
WO (1) WO2003003448A2 (ko)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3870824B2 (ja) * 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
US20040045813A1 (en) * 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
KR101163682B1 (ko) 2002-12-20 2012-07-09 맷슨 테크날러지 캐나다 인코퍼레이티드 피가공물 지지 장치
JP2005276886A (ja) * 2004-03-23 2005-10-06 Nikon Corp 静電チャックおよび露光装置
US7452427B2 (en) * 2004-12-01 2008-11-18 Deft, Inc. Corrosion resistant conversion coatings
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7826724B2 (en) * 2006-04-24 2010-11-02 Nordson Corporation Electronic substrate non-contact heating system and method
KR100702846B1 (ko) * 2006-05-16 2007-04-03 삼성전자주식회사 이온주입설비의 정전척 크리닝장치
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
CN101711481B (zh) * 2006-10-18 2013-01-09 汤姆森特许公司 使用预测数据精选进行视频编码的方法和装置
JP5967859B2 (ja) 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
SG171819A1 (en) * 2008-12-25 2011-07-28 Ulvac Inc Method of manufacturing chuck plate for use in electrostatic chuck
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
TWI538094B (zh) * 2009-03-31 2016-06-11 蘭研究公司 用以處理盤狀物品的裝置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR20120116923A (ko) * 2009-11-30 2012-10-23 램 리써치 코포레이션 각진 측벽을 가진 정전 척
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
CN102387655B (zh) * 2010-09-06 2015-10-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备的下电极及等离子体设备
CN102468208B (zh) * 2010-11-16 2015-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘和半导体处理装置
CN102465283B (zh) * 2010-11-16 2013-12-11 北京北方微电子基地设备工艺研究中心有限责任公司 一种卡盘和半导体处理装置
RU2579533C2 (ru) * 2010-12-14 2016-04-10 МЭППЕР ЛИТОГРАФИ АйПи Б. В. Литографическая система и способ обработки подложек в такой литографической системе
JP5609663B2 (ja) * 2011-01-18 2014-10-22 旭硝子株式会社 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013088733A1 (ja) * 2011-12-14 2013-06-20 株式会社ニコン 基板ホルダ及び一対の基板ホルダ
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
JP5989593B2 (ja) * 2012-04-27 2016-09-07 日本碍子株式会社 半導体製造装置用部材
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103794538B (zh) * 2012-10-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9142438B2 (en) * 2013-02-28 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Techniques for clamping and declamping a substrate
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104377155B (zh) * 2013-08-14 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
JP2015109249A (ja) * 2013-10-22 2015-06-11 東京エレクトロン株式会社 プラズマ処理装置
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP6293499B2 (ja) 2014-01-27 2018-03-14 株式会社日立ハイテクノロジーズ 真空処理装置
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6609425B2 (ja) 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
JP6697363B2 (ja) * 2015-10-30 2020-05-20 日本碍子株式会社 半導体製造装置用部材、その製法及びシャフト付きヒータ
JP6960737B2 (ja) 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
CN107808848A (zh) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US10306776B1 (en) 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
JP7083463B2 (ja) 2018-02-23 2022-06-13 株式会社日立ハイテク 真空処理装置
JP6873178B2 (ja) * 2019-03-26 2021-05-19 日本碍子株式会社 半導体製造装置用部材、その製法及び成形型
RU191199U1 (ru) * 2019-04-26 2019-07-29 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский Нижегородский государственный университет им. Н.И. Лобачевского" Блок фиксации нагреваемой подложки в вакуумной камере с плоско-пружинными зажимами фиксирующих керамических пластин
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
WO2021221886A1 (en) 2020-04-29 2021-11-04 Applied Materials, Inc. Heater cover plate for uniformity improvement
CN112002658A (zh) * 2020-08-25 2020-11-27 北京北方华创微电子装备有限公司 加热器和加热基座
CN112011778B (zh) * 2020-08-26 2022-08-16 北京北方华创微电子装备有限公司 一种半导体工艺设备中的卡盘组件及半导体工艺设备
CN112133619B (zh) * 2020-09-22 2023-06-23 重庆臻宝科技股份有限公司 下部电极塑封夹具及塑封工艺
US11598006B2 (en) * 2021-01-08 2023-03-07 Sky Tech Inc. Wafer support and thin-film deposition apparatus using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0111166A1 (en) * 1982-11-10 1984-06-20 Hitachi, Ltd. Gate turn-off thyristor
KR970008443A (ko) * 1995-07-10 1997-02-24 리챠드 지. 벨 웨이퍼 지지용 정전기 지지조립체 및 웨이퍼 지지방법
KR20000016385A (ko) * 1996-06-05 2000-03-25 리차드 에이치. 로브그렌 플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈을 구비하는 만능 진공 챔버
KR20010032031A (ko) * 1997-11-12 2001-04-16 조셉 제이. 스위니 처리물 유지 장치

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4615755A (en) 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH02250198A (ja) 1989-03-24 1990-10-05 Tdk Corp テープカセット自動販売機
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3129452B2 (ja) 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5155652A (en) 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5511799A (en) 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5535090A (en) 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5691876A (en) 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5534816A (en) 1995-04-14 1996-07-09 Delco Electronics Corporation Programmable transducer amplifier circuit
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5930639A (en) 1996-04-08 1999-07-27 Micron Technology, Inc. Method for precision etching of platinum electrodes
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1064983A (ja) 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6159055A (en) * 1998-07-31 2000-12-12 Applied Materials, Inc. RF electrode contact assembly for a detachable electrostatic chuck
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0111166A1 (en) * 1982-11-10 1984-06-20 Hitachi, Ltd. Gate turn-off thyristor
KR970008443A (ko) * 1995-07-10 1997-02-24 리챠드 지. 벨 웨이퍼 지지용 정전기 지지조립체 및 웨이퍼 지지방법
KR20000016385A (ko) * 1996-06-05 2000-03-25 리차드 에이치. 로브그렌 플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈을 구비하는 만능 진공 챔버
KR20010032031A (ko) * 1997-11-12 2001-04-16 조셉 제이. 스위니 처리물 유지 장치

Also Published As

Publication number Publication date
CN1941317A (zh) 2007-04-04
AU2002303965A1 (en) 2003-03-03
CN1537328A (zh) 2004-10-13
WO2003003448A3 (en) 2003-05-08
RU2004102206A (ru) 2005-02-27
CN1293620C (zh) 2007-01-03
US20030003749A1 (en) 2003-01-02
WO2003003448A2 (en) 2003-01-09
EP1399963A2 (en) 2004-03-24
IL159497A0 (en) 2004-06-01
JP4256257B2 (ja) 2009-04-22
JP2005516379A (ja) 2005-06-02
RU2295799C2 (ru) 2007-03-20
ATE356431T1 (de) 2007-03-15
KR20040015763A (ko) 2004-02-19
CN100437968C (zh) 2008-11-26
EP1399963B1 (en) 2007-03-07
TW550643B (en) 2003-09-01
US6669783B2 (en) 2003-12-30
IL159497A (en) 2008-03-20
DE60218669D1 (de) 2007-04-19

Similar Documents

Publication Publication Date Title
KR100907848B1 (ko) 고온 정전기 척
US6567258B2 (en) High temperature electrostatic chuck
KR101541202B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
US8390980B2 (en) Electrostatic chuck assembly
CN107578976B (zh) 具有可拆卸式气体分配板的喷淋头
US7244311B2 (en) Heat transfer system for improved semiconductor processing uniformity
US6129808A (en) Low contamination high density plasma etch chambers and methods for making the same
US7697260B2 (en) Detachable electrostatic chuck
US7846254B2 (en) Heat transfer assembly
KR20090011307U (ko) 밀봉 조립체를 갖는 분리 가능한 정전 척
WO2024035589A1 (en) Vacuum seal for electrostatic chuck

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130625

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140625

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150624

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160627

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170628

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180627

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190627

Year of fee payment: 11